AN 307: Intel® FPGA Design Flow for AMD* Xilinx* Users

ID 683562
Date 4/01/2024
Public
Document Table of Contents

4.3.1.5. KEEP

Equivalent to the KEEP constraints, the Attribute Keep (VHDL) or Synthesis Keep (Verilog) synthesis attributes direct the Compiler to keep a wire or combinational node through logic synthesis minimizations and netlist optimizations. Similarly, you can also set the Implement as Output of Logic Cell logic option in the Quartus® Prime Assignment Editor.

The following example shows how both VHDL and Verilog HDL set the equivalent KEEP constraint (Differential SSTL-2 Class I) to the my_wire signal.

Verilog HDL example in the Vivado* software:

(* KEEP = "TRUE" *) wire my_wire

Equivalent Verilog HDL example in the Quartus® Prime software:

(	*preserve*) wire my_wire;

VHDL example in the Vivado* software:

signal my_wire: bit;
attribute keep: string;
attribute keep of my_wire: signal is "TRUE";

Equivalent VHDL example in the Quartus® Prime software:

signal my_wire: bit;
attribute syn_keep: boolean;
attribute syn_keep of my_wire: signal is true;