AN 307: Intel® FPGA Design Flow for AMD* Xilinx* Users

ID 683562
Date 4/01/2024
Public
Document Table of Contents

3.3.2.2. Schematic/Block Editor

In the Quartus® Prime Pro Edition software, you can use Intel® FPGA-supplied design elements, such as Boolean gates and registers, or you can create your own symbols from HDL or EDA netlist design entities.
  • To create a block design file from a VHDL or Verilog HDL design file, click File > Create/Update, and click Create Symbol Files for Current File.
  • To create a new schematic file (*.bdf) in the Quartus® Prime Pro Edition software, point to File > New and select the Block Diagram/Schematic File.
  • To insert block symbols into the schematic, double-click the schematic file and choose the appropriate block symbols.
Figure 3. Schematic File