AN 307: Intel® FPGA Design Flow for AMD* Xilinx* Users

ID 683562
Date 4/01/2024
Public
Document Table of Contents

4.2. Converting IP Cores

This section describes how to convert IPs generated using the AMD* Xilinx* IP Catalog to Intel® FPGA IP cores generated with the Quartus® Prime Pro Edition IP Catalog.