Intel® Stratix® 10 Embedded Memory User Guide

ID 683423
Date 9/26/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

4.3.11.2.1. SDC Commands

Table 49.  SDC Commands usage in the Intel® Quartus® Prime Fitter and Timing Analyzer These SDC descriptions provided are overview for DCFIFO use case. For the exact SDC details, refer to the Intel® Quartus® Prime Timing Analyzer chapter in the Intel® Quartus® Prime Pro Edition Handbook.
SDC Command Fitter Timing Analyzer Recommended Settings
set_max_skew 40 To constraint placement and routing of flops in the multi-bit CDC paths to meet the specified skew requirement among bits.

To analyze whether the specified skew requirement is fully met. Both clock and data paths are taken into consideration.

Set to less than 1 launch clock.

set_net_delay

Similar to set_max_skew but without taking clock skews into considerations.

To ensure the crossing latency is bounded.

To analyze whether the specified net delay requirement is fully met. Clock paths are not taken into consideration.

This is currently set to be less than 1 latch clock. 41

set_min_delay/set_max_delay

To relax fitter effort by mimicking the set_false_path command but without overriding other SDCs. 42

To relax timing analysis for the setup/hold checks to not fail. 43

This is currently set to 100ns/-100ns for max/min. 44

40 It can have significant compilation time impact in older Quartus versions without Timing Analyzer 2.
41 For advanced users, you can fine-tune the value based on your design. For instance, if the designs are able to tolerate longer crossing latency (full and empty status will be delayed), this can be relaxed.
42 Without set_false_path (which has the highest precedence and may result in very long insertion delays), Fitter will attempt to meet the default setup/hold which is extremely over constraint.
43 Without set_false_path, the CDC paths will be analyzed for default setup/hold, which is extremely over constraint.
44 Expect an approximately 100 ns delay when you observe CDC paths compared to set_false_path.