Intel® Quartus® Prime Pro Edition User Guide: Power Analysis and Optimization

ID 683174
Date 12/12/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

2.3. Specifying Power Analyzer Input

The Power Analyzer accuracy is driven by design factors, operating conditions, and signal activity data that affect power consumption. The following figure shows how the Power Analyzer interprets these inputs and generates results in the Power Analysis report:

Figure 2.  Power Analyzer High-Level Flow


To obtain accurate I/O power estimates, the Power Analyzer requires full compilation of your design, in addition to specifying the following settings:

  • The electrical standard on each I/O cell.
  • The board trace model on each I/O standard in the design.
  • Timing assignments for all the clocks in your design, or use a simulation-based flow to generate activity data.
Note: For accurate results, ensure that any .VCD file used with the Power Analyzer is the result of gate-level simulation.