Multi Channel DMA for PCI Express* Intel® FPGA IP Design Example User Guide

ID 683517
Date 2/06/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.3.4. Run the Simulation Script

Figure 40. Simulation Script
  1. Change to the testbench simulation directory, pcie_ed_tb/pcie_ed_tb/sim/<simulators> .
  2. Run the simulation script for the simulator of your choice. Refer to the table below.
  3. Analyze the results.
Table 32.  Steps to run the simulation
Simulator Simulation Directory Instructions
ModelSim

<example_design>/pcie_ed_tb/ pcie_ed _tb/sim/mentor/

  1. Invoke vsim (by typing vsim, which brings up a console window where you can run the following commands).
  2. do msim_setup.tcl
    Note: Alternatively, instead of doing Steps 1 and 2, you can type: vsim -c -do msim_setup.tcl
  3. ld_debug
  4. run -all
  5. A successful simulation ends with the following message: "Simulation stopped due to successful completion!"
Note: ModelSim currently supports BAM and PIO example designs only.
VCS/VCSMX

<example_design> /pcie_ed_tb/ pcie_ed _tb/sim/synopsys/vcs

<example_design>/pcie_ed_tb/pcie_ed _tb/sim/synopsys/vcsmx

  1. sh vcs_setup.sh 
    USER_DEFINED_COMPILE_OPTIONS="" 
    USER_DEFINED_ELAB_OPTIONS="-xlrm\ uniq_prior_final" 
    USER_DEFINED_SIM_OPTIONS=""
  2. A successful simulation ends with the following message: "Simulation stopped due to successful completion!"
Xcelium

<example_design>/pcie_ed_tb/pcie_ed_tb/sim/xcelium

  1. sh xcelium_setup.sh 
    USER_DEFINED_SIM_OPTIONS="" 
    USER_DEFINED_ELAB_OPTIONS ="-timescale\ 1ns/1ps\ -NOWARN\ CSINFI"
  2. A successful simulation ends with the following message: "Simulation stopped due to successful completion!"
Note: Xcelium currently support for H-Tile only