Intel® Quartus® Prime Standard Edition User Guide: Getting Started

ID 683475
Date 12/16/2019
Public
Document Table of Contents

2.6. Integrating Other EDA Tools

Optionally integrate supported EDA design entry, synthesis, simulation, physical synthesis, and formal verification tools into the Intel® Quartus® Prime design flow. The Intel® Quartus® Prime software supports netlist files from other EDA design entry and synthesis tools. The Intel® Quartus® Prime software optionally generates various files for use in other EDA tools.

The Intel® Quartus® Prime software manages EDA tool files and provides the following integration capabilities:

  • Automatically generate files for synthesis and simulation and automatically launch other EDA tools (Assignments > Settings > EDA Tool Settings > NativeLink Settings ). The Intel® Quartus® Prime Pro Edition software does not support NativeLink.
  • Compile all RTL and gate-level simulation model libraries for your device, simulator, and design language automatically (Tools > Launch Simulation Library Compiler).
  • Include files generated by other EDA design entry or synthesis tools in your project as synthesized design files (Project > Add/Remove File from Project) .
  • Automatically generate optional files for board-level verification (Assignments > Settings > EDA Tool Settings).
Figure 15. EDA Tool Settings