Intel® Quartus® Prime Standard Edition User Guide: Getting Started

ID 683475
Date 12/16/2019
Public
Document Table of Contents

2.2.1. Project File Best Practices

The Intel® Quartus® Prime software provides various options for specifying project settings and constraints.
The following best practices help ensure automated management and portability of your project files.
  • Avoid manually editing Intel® Quartus® Prime data files, such as the Intel® Quartus® Prime Project File (.qpf), Intel® Quartus® Prime Settings File (.qsf), Quartus IP File (.ip), or Platform Designer (Standard) System File (.qsys). Syntax errors in these files cause errors during compilation. For example, the software may ignore improperly formatted settings and assignments.

  • Do not compile multiple projects into the same directory. Instead, use a separate directory for each project.

  • By default, the Intel® Quartus® Prime software saves all project output files, such as Text-Format Report Files (.rpt), in the project directory. If you want to change the location of output files, instead of manually moving project output files, click Assignments > Settings > Compilation Process Settings, and specify the Save project output files in specified directory option.