Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 6/20/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.1.35.16. get_clock_pair_info (::quartus::sta)

The following table displays information for the get_clock_pair_info Tcl command:

Tcl Package and Version

Belongs to ::quartus::sta

Syntax get_clock_pair_info [-h | -help] [-long_help] [-fall_from <clk_object> ] [-fall_to <clk_object> ] [-false_path] [-from <clk_object> ] [-hierarchy] [-hold] [-rise_from <clk_object> ] [-rise_to <clk_object> ] [-setup] [-to <clk_object> ]
Arguments -h | -help Short help
-long_help Long help with examples and possible return values
-fall_from <clk_object> Valid source clocks (string patterns are matched using Tcl string matching)
-fall_to <clk_object> Valid destination clocks (string patterns are matched using Tcl string matching)
-false_path Return a description of the satisfied false-path-type assignment applied between the "from" and "to" clocks, if any
-from <clk_object> Valid source clocks (string patterns are matched using Tcl string matching)
-hierarchy Return a description the hierarchical relationship between the "from" and "to" clocks
-hold Return the hold analysis information if you use the "-false_path" option
-rise_from <clk_object> Valid source clocks (string patterns are matched using Tcl string matching)
-rise_to <clk_object> Valid destination clocks (string patterns are matched using Tcl string matching)
-setup Return the setup analysis information if you use the "-false_path" option. The setup analysis relationship is returned by default
-to <clk_object> Valid destination clocks (string patterns are matched using Tcl string matching)
Description
The get_clock_pair_info command returns various clock information between two given clocks.

If you specify the "-false_path" option, the command returns a description of the satisfied
false path assignment between the "from" and "to" clocks, which includes clock groups.

If you specify the "-hierarchy" option, the command returns a description of the clock hierarchy
relationship between the two clocks, such as whether the "from" clock is a parent of the "to" clock.

Use the "-from" option to specify the source clock that you want to query, and use the "-to" option
to specify the destination clock that you want to query. 

When using the "-false_path" option, you can use the "-rise_from" option to specify a source clock's
rising edge to report on, or use the "-fall_from" option to specify a source clock's falling edge to
report on. Likewise, you can use the "-rise_to" option to specify a destination clock's rising edge,
or use the "-fall_to" option to specify a destination clock's falling edge. You can also specify to  
retrieve either the setup or hold false path relationship using the "-setup" or "-hold" option 
respectively. By default, the setup relationship is reported. 
Example Usage
create_clock clkA -period 10
create_generated_clock clkB -source clkA -divide_by 2
create_generated_clock clkC -source clkB -divide_by 2

set_false_path -from clkA -to clkB -latency_insensitive
set_clock_groups -group clkA -group clkC -asynchronous

get_clock_pair_info -from clkA -to clkB -false_path		->	"false_path_latency_insensitive"
get_clock_pair_info -from clkA -to clkC -false_path		->	"clock_group_asynchronous"
get_clock_pair_info -from clkA -to clkB -hierarchy		->	"parent_child"
get_clock_pair_info -from clkC -to clkA -hierarchy		-> 	"descendant_ancestor"
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful