Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 6/20/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.1.33.23. set_disable_timing (::quartus::sdc)

The following table displays information for the set_disable_timing Tcl command:

Tcl Package and Version

Belongs to ::quartus::sdc

Syntax set_disable_timing [-h | -help] [-long_help] [-from <name> ] [-to <name> ] <cells>
Arguments -h | -help Short help
-long_help Long help with examples and possible return values
-from <name> Valid source pin suffix
-to <name> Valid destination pin suffix
<cells> List of cells
Description
Disables a timing edge (arc) from inside a given cell or cells.
Disabling a timing edge prevents timing analysis through that edge.
If either -from or -to (or both) are unspecified, the missing value or
values are replaced by a "*" character.

The values of the -from and -to are valid pin suffixes. 
The values used must follow standard Tcl or Timing Analyzer-extension
substitution rules.  See the help for use_timing_analyzer_style_escaping for
details.
Example Usage
set_disable_timing -from datain -to combout A|B
set_disable_timing -from carryin *
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful
TCL_ERROR 1 ERROR: Timing netlist does not exist. Use create_timing_netlist to create a timing netlist.