Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 6/20/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.1.9.22. dni::set_timing_derate (::quartus::dni_sdc)

The following table displays information for the dni::set_timing_derate Tcl command:

Tcl Package and Version

Belongs to ::quartus::dni_sdc

Syntax dni::set_timing_derate [-h | -help] [-long_help] [-cell_check] [-cell_delay] [-clock] [-data] [-early] [-fall] [-late] [-max] [-min] [-net_delay] [-operating_conditions <operating_conditions> ] [-pocvm_coefficient_scale_factor] [-pocvm_guardband] [-rise] <derate_value> [ <cells> ]
Arguments -h | -help Short help
-long_help Long help with examples and possible return values
-cell_check Applies the derating value to the setup and hold time requirements of cells
-cell_delay Specifies that derating factors are only to apply to cell delays
-clock Applies the derating value only to elements in the clock network
-data Applies the derating value only to elements in the data network
-early Specifies the minimum derating factor. This factor specifies how early the signal can arrive
-fall Applies the derating value only to the delays of paths that have a falling transition at the specified objects
-late Specifies the maximum derating factor. This factor specifies how late the signal can arrive
-max Applies the derating value only to the maximum operating condition
-min Applies the derating value only to the minimum operating condition
-net_delay Specifies that derating factors are only to apply to net delays
-operating_conditions <operating_conditions> Operating conditions Tcl object
-pocvm_coefficient_scale_factor This option applies only to the parametric on-chip variation (POCV) context
-pocvm_guardband This option applies only to the parametric on-chip variation (POCV) context
-rise Applies the derating value only to the delays of paths that have a rising transition at the specified objects
<derate_value> Timing derate value
<cells> List of cell type objects
Description
Sets the global derate factors for the current design. The maxmimum
and minimum delays of all timing arcs in the design are multiplied by
the factors specified with the -late and -early options respectively.
Only positive derate factors are allowed. If neither the -cell_delay
nor -net_delay option is used, the derating factors apply to both cell
and net delays. For net delay derates, the derate factor is applied to
nets driven by matching cells.

Specifying a derate value of less than 1.0 for the -late option or a
derate value of greater than 1.0 for the -early option reduces delay
pessimisim, which might lead to optimistic results from timing
analysis.

The effect of set_timing_derate command is deferred until the next
time update_timing_netlist is called. To reset derate factors to
original values, use the reset_timing_derate command.

This assignment is for timing analysis only, and is not considered
during timing-driven compilation.

Avoid using the set_timing_derate command if your design targets a
HardCopy series device or if you plan to migrate your design to a
HardCopy series device. 

Note -min, -max, -data, -clock, -rise, -fall, -cell_check,
-pocvm_guardband and -pocvm_coefficient_scale_factor options are not
supported yet.
Example Usage
set_timing_derate -early 0.9 [get_cells *]
set_timing_derate -late 1.1 [get_cells *]
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful