Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 6/20/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.1.35.18. get_default_sdc_file_names (::quartus::sta)

The following table displays information for the get_default_sdc_file_names Tcl command:

Tcl Package and Version

Belongs to ::quartus::sta

Syntax get_default_sdc_file_names [-h | -help] [-long_help]
Arguments -h | -help Short help
  -long_help Long help with examples and possible return values
Description
Returns the default SDC file name(s) used by the Quartus Prime Compiler
when doing timing-driven optimizations.

Returns the value for the QSF variable SDC_FILE. If multiple
assignments are found, return them as a list If not specified, return
<revision_name>.sdc.
Example Usage
project_new test
create_timing_netlist
foreach file [get_default_sdc_file_names] {
   read_sdc $file
}
update_timing_netlist

report_timing

delete_timing_netlist
project_close
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful