Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 6/20/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.1.2.1. bpps::apply_assignments (::quartus::bpps)

The following table displays information for the bpps::apply_assignments Tcl command:

Tcl Package and Version

Belongs to ::quartus::bpps

Syntax bpps::apply_assignments [-h | -help] [-long_help]
Arguments -h | -help Short help
  -long_help Long help with examples and possible return values
Description
	In classic mode, applies all changes to constraints and reloads them into Interface Planner. After the platform has been 
	updated with the constraints, placement operations can be performed. 

                        In pin planner mode, loads the QSF constraints related to pin assignments.
Example Usage
	project_open onewire_nf

	blueprint::initialize
	bpps::update_plan
	blueprint::shutdown

	project_close
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful