Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 6/20/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

1.4. Compilation with quartus_sh --flow

The figure shows a typical Intel® Quartus® Prime FPGA design flow using command-line executables.
Figure 2. Typical Design Flow

Use the quartus_sh executable with the --flow option to perform a complete compilation flow with a single command. The --flow option supports the smart recompile feature and efficiently sets command-line arguments for each executable in the flow.

You can resume an interrupted compilation with the -resume argument of the --flow option.

After you start a compilation flow with the quartus_sh executable, you can monitor the progress of the compilation flow in the Intel® Quartus® Prime Pro Edition GUI.

The following example runs analysis & synthesis, fitter, timing analysis, and programming file generation with a single command:

quartus_sh --flow compile filtref
Tip: For information about specialized flows, type quartus_sh --help=flow at a command prompt.