Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 6/20/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

1.3.1. Option Precedence

Project assignments follow a set of precedence rules.
Assignments for a project can exist in three places:
  • Intel® Quartus® Prime Settings File (.qsf)
  • The compiler database
  • Command-line options

The .qsf file contains all the project-wide and entity-level assignments and settings for the current revision for the project. The compiler database contains the result of the last compilation in the /db directory, and reflects the assignments at the moment when the project was compiled. Updated assignments first appear in the compiler database and later in the .qsf file.

Command-line options override any conflicting assignments in the .qsf file or the compiler database files. To specify whether the .qsf or compiler database files take precedence for any assignments not specified in the command-line, use the option --read_settings_files.

Table 1.  Precedence for Reading Assignments
Option Specified Precedence for Reading Assignments
--read_settings_files = on

(Default)

  1. Command-line options
  2. The .qsf for the project
  3. Project database (db directory, if it exists)
  4. Intel® Quartus® Prime software defaults
--read_settings_files = off
  1. Command-line options
  2. Project database (db directory, if it exists)
  3. Intel® Quartus® Prime software defaults

The --write_settings_files command-line option lists the locations to which assignments are written..

Table 2.  Location for Writing Assignments
Option Specified Location for Writing Assignments
--write_settings_files = on (Default) .qsf file and compiler database
--write_settings_files = off Compiler database

Any assignment not specified as a command-line option or found in the .qsf file or compiler database file is set to its default value.

Use the options --read_settings_files=off and --write_settings_files=off (where appropriate) to optimize the way that the Intel® Quartus® Prime software reads and updates settings files.