Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 6/20/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.1.29.17. get_assignment_name_info (::quartus::project)

The following table displays information for the get_assignment_name_info Tcl command:

Tcl Package and Version

Belongs to ::quartus::project

Syntax get_assignment_name_info [-h | -help] [-long_help] <name>
Arguments -h | -help Short help
-long_help Long help with examples and possible return values
<name> Assignment name
Description
Returns information for the specified assignment name.
Example Usage
    ## View information for all assignment names
foreach name [get_all_assignment_names] {
    puts [get_assignment_name_info $name]
}
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful
TCL_OK 0 INFO: Assignment <string> is not supported in this edition of the Quartus Prime software.
TCL_ERROR 1 ERROR: Illegal assignment name: <string>. Specify a legal assignment name. To view the list of legal assignment names, run get_all_assignment_names.