Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 6/20/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.1.7.12. design::get_assignments (::quartus::design)

The following table displays information for the design::get_assignments Tcl command:

Tcl Package and Version

Belongs to ::quartus::design

Syntax design::get_assignments [-h | -help] [-long_help] [-deleted] [-disabled] [-enabled] [-ignored] [-name <name> ]
Arguments -h | -help Short help
-long_help Long help with examples and possible return values
-deleted Return only deleted assignments
-disabled Return only disabled assignments
-enabled Return only enabled assignments
-ignored Return only ignored assignments
-name <name> Return only assignments of the provided type name
Description
Get a list of assignment IDs for the currently loaded design.
Example Usage
project_open onewire_nf

design::load_design -latest_snapshot
foreach asgn_id [design::get_assignments] {
    puts "Found assignment [design::get_assignment_info -name $asgn_id] [design::get_assignment_info -to $asgn_id] = [design::get_assignment_info -value $asgn_id]"
}
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful
TCL_ERROR 1 ERROR: The assignment with id <string> is not enabled.
TCL_ERROR 1 ERROR: The supplied assignment type name <string> is invalid.
TCL_ERROR 1 ERROR: At least one assignment type name must be supplied, but no type namess were supplied.
TCL_ERROR 1 ERROR: <string> assignment type names were expected but <string> were supplied.