Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 6/20/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.1.33.18. remove_output_delay (::quartus::sdc)

The following table displays information for the remove_output_delay Tcl command:

Tcl Package and Version

Belongs to ::quartus::sdc

Syntax remove_output_delay [-h | -help] [-long_help] [-blackbox] <targets>
Arguments -h | -help Short help
-long_help Long help with examples and possible return values
-blackbox Removes an output delay that was assigned to a partition boundary port.
<targets> Collection or list of output ports
Description
Removes output delay from a port. For each output port specified,
removes all output delays for that port. Rise, fall, max, and min
delays for each clock and reference pin on the output port are all
removed.

The value of the targets is either a collection or a Tcl list of
wildcards used to create a collection of the appropriate type.  The
values used must follow standard Tcl or Timing Analyzer-extension
substitution rules. See help for the use_timing_analyzer_style_escaping
command for details. 
Example Usage
# Simple output delay with the same value for min/max and rise/fall
set_output_delay -clock clk 1.5 [get_ports {out1 out2}]
set_output_delay -clock clk2 1.5 [get_ports {out1 out2}]
set_output_delay -clock clk 1.6 [get_ports {out3 out4}]

# Remove input delay on ports out1 and out4,
# for all flags and reference ports and flags
remove_output_delay [get_ports {out1 out4}]
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful
TCL_ERROR 1 ERROR: Incorrect collection type. Expected a collection of type <string>.
TCL_ERROR 1 ERROR: Timing netlist does not exist. Use create_timing_netlist to create a timing netlist.