AN 804: Implementing Analog-to-Digital Converter Multi-Link Designs with Intel® Stratix® 10 JESD204B RX IP Core

ID 683032
Date 1/16/2020
Public
Document Table of Contents

Editing Design Example Top-Level SDC Constraint for Synchronized ADC- Intel® Stratix® 10 Multi-Link

For every SDC constraint in the set multicycle path section, add SDC constraint for the newly added IP cores and pattern checkers.

Top-level SDC Constraint:

set_multicycle_path -end -setup -from [get_cells -compatibility_mode u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|<IP core instance name>| altera_jesd204_rx|inst_rx|altera_jesd204_rx_csr_inst|rx_test_inst|sync[*].u|dreg[0]] -to [get_cells -compatibility_mode GEN_BLOCK[<i>].u_chk|prbs_in_Nbit[*]] 2
set_multicycle_path -end -hold -from [get_cells -compatibility_mode u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|<IP core instance name>|altera_jesd204_rx|inst_rx|altera_jesd204_rx_csr_inst|rx_test_inst|sync[*].u|dreg[0]] -to [get_cells -compatibility_mode GEN_BLOCK[<i>].u_chk|prbs_in_Nbit[*]] 1

<ip core instance name> is the name for the duplicated copy of the altera_jesd204_RX IP core that you name in 3.

<i> is the index number of generation loop.

The following example has the newly added design entities:

set_multicycle_path -end -setup -from [get_cells -compatibility_mode u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|altera_jesd204_rx1|altera_jesd204_rx|inst_rx|altera_jesd204_rx_csr_inst|rx_test_inst|sync[*].u|dreg[0]] -to [get_cells -compatibility_mode GEN_BLOCK[1].u_chk|prbs_in_Nbit[*]] 2
set_multicycle_path -end -hold -from [get_cells -compatibility_mode u_altera_jesd204_ed_qsys_RX|altera_jesd204_subsystem_rx|altera_jesd204_rx1|altera_jesd204_rx|inst_rx|altera_jesd204_rx_csr_inst|rx_test_inst|sync[*].u|dreg[0]] -to [get_cells -compatibility_mode GEN_BLOCK[1].u_chk|prbs_in_Nbit[*]] 1