Intel® Quartus® Prime Pro Edition用户指南: 部分重配置

ID 683834
日期 5/11/2020
Public
文档目录

2.8.1. 生成IP Core ( Intel® Quartus® Prime Pro Edition)

Intel® Quartus® Prime参数编辑器中配置 Intel® FPGA IP核。双击IP Catalog中的任意组件启动参数编辑器。参数编辑器允许定义IP核的定制实例。参数编辑器生成IP实例综合文件和可选的仿真文件,并将代表该实例的.ip文件自动添加到工程中。

按照下列步骤在参数编辑器中定位,例化和定制IP核:

  1. 创建或者打开一个 Intel® Quartus® Prime工程(.qpf)以包含例化的IP实例。
  2. 在IP Catalog (Tools > IP Catalog)中,找到并双击要定制的IP核名称。要找到特定的组件,在IP Catalog搜索框中输入组件的部分或全部名称。New IP Variation窗口显现。
  3. 对定制IP实例指定一个顶层名称(top-level name)。请勿在IP实例名称和路径中包含空格。参数编辑器在 <your_ip> .ip文件中保存IP实例设置。点击OK。参数编辑器显现。
    图 72. IP参数编辑器( Intel® Quartus® Prime Pro Edition)


  4. 在参数编辑器中设置参数值并查看组件的结构框图。底部的Parameterization Messages选项卡显示IP参数中的所有错误:
    • 或者,选择预置参数值(如果已为您的IP核提供)。将特定应用,预置为指定初始参数值。
    • 指定用于定义IP核功能性、端口配置和器件特定功能的参数。
    • 指定在其他EDA工具中处理IP核文件的选项。
    注: 请参阅相应的IP核用户指南,了解特定IP核参数信息。
  5. 点击Generate HDL。出现Generation对话框。
  6. 指定输出文件生成选项,然后点击Generate。按照您的指定生成综合和仿真文件。
  7. 要生成仿真测试台(testbench),点击Generate > Generate Testbench System。指定测试台生成选项,并点击Generate
  8. 要生成HDL仿真模板以复制并粘贴到文本编辑器中,可点击Generate > Show Instantiation Template
  9. 点击Finish。如果提示将代表IP实例的文件添加到工程,点击Yes
  10. 生成和安装您的IP实例后,进行适当的管脚分配以连接端口。
    注: 某些IP核根据IP核参数生成不同的HDL实现。这些IP核的底层RTL有一个唯一的散列码(hash code)可避免IP核的不同实例之间的模块名称冲突。IP生成期间,此唯一代码始终与给定的IP设置和软件版本保持一致。但如果编辑IP核参数或升级IP核版本,此唯一代码就会发生改变。为避免仿真环境中对这些唯一代码的依赖性,请参阅Generating a Combined Simulator Setup Script