Intel® Quartus® Prime Pro Edition用户指南: 部分重配置

ID 683834
日期 5/11/2020
Public
文档目录

2.3.8.1. PR控制模块和CRC模块VHDL组件声明

下面示例显示了 Intel® Arria® 10 PR设计中PR控制模块和CRC模块的手动例化:
  1. 使用以下示例代码,其中包含VHDL中的组件声明。此代码从core内部执行PR功能(Core_Top中的代码块)。
    module Chip_Top is port (
    	--User I/O signals (excluding signals that relate to PR)
    	..
    	..
    )
    -- Following shows the connectivity within the Chip_Top module
    Core_Top : Core_Top
    port_map (
    	..
    	..
    );
    
    m_pr : twentynm_prblock
    port map(
    	clk => dclk,
    	corectl =>'1', --1 - when using PR from inside
    	--0 - for PR from pins; You must also enable
    	-- the appropriate option in Quartus Prime settings
    	prrequest => pr_request,
    	data => pr_data,
    	error => pr_error,
    	ready => pr_ready,
    	done => pr_done
    );
    
    m_crc : twentynm_crcblock
    port map(
    	shiftnld => '1', --If you want to read the EMR register when
    	clk => dummy_clk, --error occurrs, refer to AN539 for the
    	--connectivity forthis signal. If you only want
    	--to detect CRC errors, but plan to take no
    	--further action, you can tie the shiftnld
    	--signal to logical high.
    	crcerror => crc_error
    );
    注: 此VHDL示例适用于Verilog HDL例化。
  2. 将额外的端口添加到Core_Top以连接到这两个组件。
  3. 当将PR控制模块连接到设计的其余部分时,请遵循以下规则:
    • corectl信号设为‘1’ (当从core使用部分重配置时)或者设为‘0’ (当从管脚使用部分重配置时)。
    • corectl信号必须与Device and Pin Options对话框中的Enable PR pins选项设置匹配(Assignments > Device > Device and Pin Options)。
    • 当从管脚执行部分重配置时,Fitter自动分配PR未分配的管脚。使用Pin Planner (Assignments > Pin Planner)或者Assignment Editor (Assignments > Assignment Editor)分配所有专用的PR管脚。
    • 当从内核逻辑执行部分重配置时,将prblock信号连接到内核逻辑或者I/O管脚,但不包括专用的编程管脚,例如:DCLK