Intel® Stratix® 10嵌入式存储器用户指南

ID 683423
日期 11/19/2019
Public
文档目录

4.1.1. RAM: 1-PORT Intel® FPGA IP参数

此表列出了RAM: 1-PORT Intel® FPGA IP core的参数。
表 20.  RAM: 1-PORT Intel® FPGA IP参数说明
参数 合法值 说明
Parameter Settings: Widths/Blk Type/Clks
How wide should the ‘q’ output bus be? 指定‘q’输出总线的宽度。
How many words of memory? 指定比特字的数量。
What should the memory block type be? Auto, MLAB, M20K, LCs 指定存储器模块类型。可选择的存储器模块类型取决于您的目标器件。
Set the maximum block depth to
  • Auto: Auto, 32, 64, 128, 256, 512, 1024, 2048, 4096
  • MLAB: Auto, 32
  • M20K: Auto, 512, 1024, 2048
  • LCs: Auto
指定最大模块深度(以字为单位)。
How should the memory be implemented?
  • Use default logic cell style
  • Use Stratix M512 emulation logic cell style
指定逻辑单元实现方法。
  • 如果您倾向于更小更快的存储器性能,那么选择Use default logic cell style
  • 如果您想要存储器兼容于Stratix M512仿真类型,那么选择Use Stratix M512 emulation logic cell style
What clocking method would you like to use?
  • Single clock
  • Dual clock: use separate ‘input’ and ‘output’ clocks
选择要使用的钟控方法(clocking method)。
  • Single clock—个单一时钟和一个时钟使能控制存储器模块的所有寄存器。
  • Dual clock: use separate ‘input’ and ‘output’ clocks—一个输入和输出时钟控制与存储器模块(包括数据、地址、字节使能、读使能和写使能)的数据输入和输出相关的全部寄存器。
Parameter Settings: Regs/Clken/Byte Enable/Aclrs
Which ports should be registered?
选项如下:
  • ‘data’ and ‘wren’ input ports
  • ‘address’ input port
  • ‘q’ output port
On/Off 指定是否寄存输入和输出端口。
Create one clock enable signal for each clock signal.
注: 所有寄存端口都由使能信号控制。
On/Off 指定是否开启对每个时钟信号创建一个时钟使能信号的选项。
更多选项 Use clock enable for port A input registers On/Off 指定是否将时钟使能用于端口A输入寄存器。
Use clock enable for port A output registers On/Off 指定是否将时钟使能用于端口A输出寄存器。
Create an ‘addressstall_a’ input port. On/Off 指定是否创建addressstall_a输入端口。您可以创建此端口以用作地址寄存器的额外的低电平有效使能输入。
Create byte enable for port A On/Off 指定是否对端口A创建一个字节使能。如果想通过屏蔽输入数据而只写入数据的特定字节,半字节或比特,那么开启此选项。

要使能端口A和端口B的字节使能,RAM: 1-PORT和RAM: 2-PORT Intel® FPGA IP cores的数据宽度比率需要为1或2。

What is the width of a byte for byte enables?
  • MLAB: 5 or 10
  • Other memory block types: 8 or 9
  • M20K: 8, 9, or 10
指定字节使能端口的字节宽度。数据输入端口的宽度必须能够被字节大小整除。
Create an ‘aclr’ asynchronous clear for the registered ports.
  • ‘data’ port
  • ‘wren’ port
  • ‘address’ port
  • ‘q’ port
  • ‘byteena_a’ port
On/Off 如果想要寄存的‘data’,‘wren’,‘address’,‘q’和‘byteena_a’端口受异步清零信号影响,那么开启此参数。禁止的端口不受异步清零信号影响。
Create an ‘sclr’ synchronous clear for the registered port.

‘q’ port

On/Off 如果想要‘q’端口受同步清零信号影响,那么开启此参数。
Create a ‘rden’ read enable signal On/Off 如果想要创建一个读使能信号,那么开启此选项。
Parameter Settings: Read During Write Option
What should the ‘q’ output be when reading from a memory location being written to? Don’t Care, Old Data 指定read-during-write发生时的输出行为。

Don’t Care—RAM输出read-during-write操作的“don't care”或“unknown”值。

Old Data—RAM输出在写操作进行之前反映此地址上的旧数据。

Get x’s for write masked bytes instead of old data when byte enable is used On/Off 开启此选项以获得屏蔽字节上的‘X’。
Parameter Settings: Mem Init
Do you want to specify the initial content of the memory?
  • No, leave it blank
  • Yes, use this file for the memory content data
指定存储器的初始化内容。

如要将存储器初始化为零,则选择 No, leave it blank.

如要使用存储器初始化文件 (.mif)或者十六进制(Intel-format)文件(.hex),则选择Yes, use this file for the memory content data.

Initialize memory content data to XX..X on power-up in simulation On/Off
Implement clock-enable circuitry for use in a partial reconfiguration region On/Off 指定是否实现用于部分重配置区域中的时钟使能电路。
Allow In-System Memory Content Editor to capture and update content independently of the system clock On/Off 指定是否允许In-System Memory Content Editor独立于系统时钟来采集和更新内容。
The ‘Instance ID’ of this RAM is NONE 指定RAM ID。
Parameter Settings: Performance Optimization
Enable Force To Zero On/Off 指定在置低读使能信号时是否将输出设成零。

当所选的存储器深度大于一个存储器模块时,使能此功能将有助于提高胶合逻辑性能。