Intel® Stratix® 10嵌入式存储器用户指南

ID 683423
日期 11/19/2019
Public
文档目录

4.3.10. DCFIFO时序约束设置

FIFO参数编辑器提供DCFIFO功能的时序约束设置。

表 43.   Intel® Quartus® Prime软件中的DCFIFO约束设置参数
参数 描述
Generate SDC File and disable embedded timing constraint 39 允许您旁路嵌入式时序约束,使用同步寄存器中的set_false_path。从IP Catalog例化DCFIFO时,自动生成一个用户可配置的SDC文件。新的时序约束包括set_net_delayset_max_skewset_min_delayset_max_delay,用于正确约束设计。
注: Intel建议对高频DCFIFO设计选择此选项以实现时序收敛。关于更多信息,请参考用户可配置的时序约束
39 您可以在 Intel® Quartus® Prime早前版本和其他器件中通过QSF设置禁用嵌入式时序约束。请参考QSF assignment setting上的KDB link