Low Latency Ethernet 10G MAC Intel® Cyclone® 10 GX FPGA IP Design Example User Guide

ID 683354
Date 11/30/2020
Public
Document Table of Contents

1.3.1. Procedure

You can compile and simulate the design by running a simulation script from the command prompt.
  1. At the command prompt, change the working directory to <Example Design>\simulation\ed_sim\<Simulator> .
  2. Run the simulation script for the simulator of your choice.
  3. Simulator Working Directory Command
    ModelSim* <Example Design>/simulation/ed_sim/mentor vsim -c -do tb_run.tcl
    VCS* <Example Design>/simulation/ed_sim/synopsys/vcs sh tb_run.sh
    Xcelium* <Example Design>/simulation/ed_sim/xcelium sh tb_run.sh
A successful simulation ends with the following message:
Simulation passed.
After successful completion, you can analyze the results.