Intel® Quartus® Prime Pro Edition User Guide: Design Compilation

ID 683236
Date 4/03/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

2.1. Compilation Overview

The Compiler is modular, allowing you to run only the process that you need. Each Compiler module performs a specific function in the full compilation process. When you run any module, the Compiler runs any prerequisite modules automatically and generates detailed reports at each stage. The Compiler can preserve a "snapshot" of the compilation results after each stage.
Table 1.  Compilation Modules
Compilation Process Description
IP Generation Identifies the status and version of IP components in the project. Reports outdated IP that require upgrade.
Analysis & Synthesis

Synthesizes, optimizes, minimizes, and maps design logic to device resources. The "synthesized" snapshot preserves the results of this stage.

Analysis & Elaboration is a stage of Analysis & Synthesis. This stage checks for design file and project errors.

Fitter (Place & Route)

Assigns the placement and routing of the design to specific device resources, while honoring timing and placement constraints. The Fitter includes the following stages:

  • Plan—places all periphery elements (such as I/Os and PLLs) and determines a legal clock plan, without core placement or routing. The "planned" snapshot preserves the stage results.
  • Place—places all core elements in a legal location. The "placed" snapshot preserves the stage results.
  • Route—creates all routing between the elements in the design. The "routed" snapshot preserves the stage results.
  • Retime—moves (retimes) existing registers into Hyper-Registers for fine-grained performance improvement. The "retimed" snapshot preserves the stage results. 1
  • Fitter (Finalize)—for Intel® Arria® 10 and Intel® Cyclone® 10 GX devices, converts unnecessary tiles to High-Speed or Low-Power. For Intel® Stratix® 10 and Intel Agilex® 7 devices, performs post-Route fix-up. The "final" snapshot preserves the stage results.
Fast Forward Timing Closure Recommendations Generates detailed reports that estimate performance gains achievable by making specific RTL modifications.
Timing Analysis Analyzes and validates the timing performance of all design logic with the Timing Analyzer.
Power Analysis Optional module that estimates device power consumption. Specify the electrical standard on each I/O cell and the board trace model on each I/O standard in your design.
Assembler Converts the Fitter's placement and routing assignments into a programming image for the FPGA device.
EDA Netlist Writer Generates output files for use in other EDA tools, as Integrating Other EDA Tools describes.
Note: Each successive release of the Intel® Quartus® Prime software typically includes:
  • Added support for new features in supported FPGA devices.
  • Added support for new devices.
  • Efficiency and performance improvements.
  • Improvements to compilation time and resource use of the design software.
Due to these improvements, different versions of the Intel® Quartus® Prime Pro Edition, Intel® Quartus® Prime Standard Edition, and Intel® Quartus® Prime Lite Edition software can produce different programming files from release to release.
1 Retiming and Fast Forward compilation available only for Intel® Stratix® 10 and Intel Agilex® 7 devices.