L-tile和H-tile Avalon® 存储器映射 Intel® FPGA IP PCI Express* 用户指南

ID 683667
日期 11/11/2021
Public
文档目录

5.2. 仿真

生成您的参数化 PCI Express* IP核后, Intel® Quartus® Prime Pro Edition软件可选择生成功能仿真模型,测试台或设计实例以及特定供应商仿真器建立脚本。对于Endpoints,此生成创建一个Root Port BFM。

注: Intel® Quartus® Prime Pro Edition发布中不支持Root Port设计实例生成。

Intel® Quartus® Prime Pro Edition支持以下仿真器。

表 27.  支持的仿真器
供应商 仿真器 版本 平台
Aldec Active-HDL * 10.3 Windows
Aldec Riviera-PRO * 2016.10 Windows, Linux
Cadence Incisive Enterprise * (NCSim*) 15.20 Linux
Cadence Xcelium* Parallel Simulator 17.04.014 Linux
Mentor Graphics ModelSim PE* 10.5c Windows
Mentor Graphics ModelSim SE* 10.5c Windows, Linux
Mentor Graphics QuestaSim* 10.5c Windows, Linux
Synopsys VCS*/VCS MX* 2016,06-SP-1 Linux
注: Intel测试台和Root Port BFM提供一种简单的方法来执行与PCIe IP系列对接的Application Layer逻辑的基本测试。此BFM允许通过可配置的参数创建并运行简单任务激励,以练习实例设计的基本功能。测试台和Root Port BFM并不是要取代完整的验证环境。不包括特殊情况和某些流量剖析激励。为确保最佳验证范围,Intel强烈建议您获得市售的PCI Express验证IP和工具,或者自己进行广泛的硬件测试,或两者兼备。