L-tile和H-tile Avalon® 存储器映射 Intel® FPGA IP PCI Express* 用户指南

ID 683667
日期 11/11/2021
Public
文档目录

2. 快速入门指南

使用 Intel® Quartus® Prime Pro Edition,可生成一个针对Intel L-/H-Tile Avalon-MM for PCI ExpressIP核的DMA设计实例。生成的设计实例反映您指定的参数。并自动创建在 Intel® Quartus® Prime Pro Edition软件中进行仿真和编译的必要文件。可将已编译的设计下载到 Intel® Stratix® 10-GX开发板。如要下载到定制硬件,请通过正确的管脚约束更新 Intel® Quartus® Prime设置文件(.qsf)。

图 12. 设计实例开发步骤