L-tile和H-tile Avalon® 存储器映射 Intel® FPGA IP PCI Express* 用户指南

ID 683667
日期 11/11/2021
Public
文档目录

3.3.1. Avalon-MM主接口

Avalon-MM Master模块将从PCI Express链路接收到的PCI Express MRd和MWr TLP请求转换成其Avalon-MM接口上的Avalon-MM读写事务。Avalon-MM主模块使用PCI Express Completion TLPs(CplD)将接收的读数据返回到其Avalon-MM接口。

配置时最多可使能6个Avalon-MM Master接口,每6个中有一个支持BAR。每个已使能的Avalon-MM Master接口可在组件GUI中被设置成突发或非突发。突发Avalon-MM Master接口专为高吞吐量传输而设计,应用程序接口数据总线宽度可为256-bit。非突发Avalon-MM Master接口专为需要更高粒度字节使能控制的小型传输或用于控制32-bit Avalon-MM Slave接口而设计。包含此接口的信号前缀是rxm_bar<bar_num>*.

表 10.  Avalon-MM主模块特性
Avalon-MM主模块类型 数据总线宽度 最大突发尺寸 字节使能粒度 最多未完成读请求
Non-bursting(非突发) 32-bit 1个周期 Byte 1
Bursting(突发) 256-bit 16个周期 DWord4

32

4 使用小于DWORD的粒度会有不可预测的结果。必须调整缓冲器大小以适应DWORD粒度。