用于 PCIe* 解决方案的 Intel® Stratix® 10 Avalon® -ST和Single Root I/O Virtualization (SR-IOV)接口用户指南

ID 683111
日期 12/06/2017
Public
文档目录

5.2. 仿真

当生成参数化的 PCI Express* IP core时, Intel® Quartus® Prime Pro Edition软件可选择生成功能仿真模型,测试台或设计示例以及特定于供应商的仿真器设置脚本。对于Endpoints,此生成会创建一个Root Port BFM。对于Root Ports,此生成会创建一个Endpoint BFM。

Intel® Quartus® Prime Pro Edition支持以下仿真器。

表 25.  支持的仿真器
供应商 仿真器 版本 平台
Aldec Active-HDL * 10.3 Windows
Aldec Riviera-PRO * 2016.10 Windows, Linux
Cadence Incisive Enterprise * 15.20 Linux
Mentor Graphics ModelSim PE* 10.5c Windows
Mentor Graphics ModelSim PE* 10.5c Windows, Linux
Mentor Graphics QuestaSim* 10.5c Windows, Linux
Synopsys VCS*/VCS MX* 2016,06-SP-1 Linux
注: Intel测试台和Root Port BFM提供了一种简单的方法来执行与variation连接的Application Layer逻辑的基本测试。此BFM使您能够通过可配置的参数创建并运行简单任务激励,以练习实例设计的基本功能。测试台和Root Port BFM并不是要取代完整的验证环境。不包括特殊情况和某些流量概况激励。为确保最佳的验证范围, Intel强烈建议您获得市售的PCI Express验证IP和工具,或者自己进行广泛的硬件测试或两者兼而有之。

请参考Example Design for Avalon-ST Stratix 10 Hard IP for PCI Express章节,使用您指定的参数创建一个简单的自定义实例设计。