MACsec Intel® FPGA IP User Guide

ID 736108
Date 3/31/2024
Public
Document Table of Contents

7.2. Steps to Run Simulation

Follow the steps below to run the design example in simulation:
  1. Create a project using one of the above devices.
  2. Create a MACsec IP variant using the above parameters for the device you have selected.
  3. Click the Generate Example Design button. The Select Example Design Directory appears.
  4. Select the directory where you want the Example Design to be placed.
  5. Click OK. Your design example is now generated in your chosen directory.
  6. Navigate to the <Example Design Directory>/example_testbench/.
  7. Execute a proper command to kick off simulation in your supported simulator.
The table below shows the steps to simulate the testbench.
Table 58.  Steps to Simulate the Testbench
Simulator Instructions
Synopsys* VCS*

In the command line, type:

sh run_vcs.sh

Synopsys VCS MX

In the command line, type:

sh run_vcsmx.sh

Use this script when the design contains Verilog HDL and System Verilog with VHDL.

QuestaSim* or Questa* Intel FPGA Edition

In the command line, type:

vsim -do run_vsim.do

If you prefer to simulate without bringing up the GUI, type:

vsim -c -do run_vsim.do

Xcelium

In the command line, type:

sh run_xcelium.sh

The figure below shows a sample output of the simulation.
Figure 32. Simulation Output