Hybrid Memory Cube Controller IP Core User Guide - Intel Stratix 10 Beta Version

ID 683854
Date 8/08/2016
Public
Document Table of Contents

B.1. HMC Controller IP Core User Guide Revision History

Document Version Quartus® Prime Version Changes
2018.07.10 Quartus® Prime Pro – Stratix 10 Edition Beta Renamed UG-01152 2016.08.08 to UG-20191 2016.08.08 and updated title.
Table 35.  Document Revision History Summarizes the new features and changes in the user guide for the HMC Controller IP core.

Date

ACDS Version

Changes

2016.08.08 Quartus® Prime Pro – Stratix 10 Edition Beta Updated for the Quartus® Prime Pro – Stratix 10 Edition Beta software release:
  • Added support for Stratix 10 devices.
  • Removed mention of Arria 10 variations of the IP core. This release supports only Stratix 10 devices.
  • Removed mention of half-width variations. Half-width variations are not supported in the Stratix 10 device family.
  • Noted some differences in supported simulators and the design example in this release. Refer to HMC Controller IP Core Stratix 10 Design Example.
  • Changed register names. Refer to HMC Controller IP Core Register Map.
  • Clarified that the Lanes and Enable ADME and Optional Reconfiguration Logic IP core parameters, although still visible in the IP core parameter editor, are not supported in this release. Refer to HMC Controller IP Core Parameters.
  • Clarified that the transceiver reconfiguration interface is not functional in this release. However, you must drive the reconfig_clk input at an appropriate clock frequency. Refer to Transceiver Reconfiguration Interface, Transceiver Reconfiguration Signals, and High Level Block Diagram.
  • Clarified that the rst_n and reconfig_reset input signals are asynchronous and must be asserted long enough for the IP core to capture the reset request. Refer to Clock and Reset Signals.
  • Updated the descriptions of the M20K ECC functionality from triple-adjacent-error detect (for Arria 10 devices) to triple-adjacent-error correct (for Stratix 10 devices). Refer to HMC Controller IP Core Parameters and M20K ECC Support.
  • Clarified that each HMC Controller IP core connects to a single HMC device link. To connect to multiple links of your HMC device, you must instantiate multiple instances of the HMC Controller IP core. Refer to HMC Controller IP Core Supported Features.
  • Removed erroneous indication that certain payload sizes are available only in half-width variations. Refer to Application Response Interface.
  • Changed title of "Clocking and Reset Structure" section to "Clocking Structure". This section does not contain reset information. Refer to Clocking Structure.
  • Fixed assorted typos and minor errors.
2016.05.02 16.0
  • Updated for Quartus Prime software v16.0 release.
  • Added support for multiple (2, 3, or 4) data interfaces in full-width variations.
  • Added new Response re-ordering parameter for full-width variations, to specify that the IP core should return responses on each data response interface in the order it received the original requests on the corresponding data request interface. When you turn on this new option, the IP core implements tag management internally, and the tags are not visible on the data interfaces. Refer to HMC Controller IP Core Supported Features and HMC Controller IP Core Parameters.
  • Expanded list of supported transactions by adding non-power of two payload sizes for READ, WRITE, and Posted WRITE transactions in full-width variations. Full-width variations now support all transaction types that half-width variations support. Refer to HMC Controller IP Core Supported HMC Transaction Types.
  • Changed name of Enable Altera Debug Master Endpoint (ADME) parameter to Enable ADME and Optional Reconfiguration Logic. Added list of PHY debugging features the parameter sets. Refer to HMC Controller IP Core Parameters.
  • Restricted list of allowed values for the CDR reference clock parameter to frequencies that support TX PLL xN bonding mode.
  • Added new data path response interface signal dp<n>_rsp_errstat[6:0]. This signal holds the value of the ERRSTAT field of the response packet from the external HMC. Refer to Application Response Interface.
  • Removed pll_powerdown output signal. Refer to Adding the External PLL and Signals on the Interface to the External PLL.
  • Updated description of dp<n>_rsp_error signal to indicate that the IP core now maintains the value of dp<n>_rsp_error for the duration of a multi-cycle transaction. Previously this behavior was not guaranteed. Refer to Application Response Interface.
  • Corrected description of dp<n>_rsp_cmd signal to clarify that it can hold only the non-error response codes from Table 25 in the HMC specification. Refer to Application Response Interface.
  • Added new Limit Outstanding FLITs feature for full-width variations to mitigate read response congestion. Added new LIMIT_OUTSTANDING_PACKET register to control the feature. Refer to Flow Control and LIMIT_OUTSTANDING_PACKETS Register.
  • Added software control for reset, link reinitialization, fatal error recovery, and power management. Added the following fields to the CONTROL register:
    • P_RST_N in bit [17]: software controlled reset of the HMC.
    • TXPS in bit [16]: Power management field.
    • SoftReset in bit [2]: software-controlled reset of the IP core.
    • Retrain in bit [0]: Restart IP core link initialization sequence.
    Refer to Initialization and Reset and CONTROL Register.
  • Corrected references to signal direction in description of hmc_lxtxps signal in HMC Interface Signals.
2015.05.04 15.0 Initial release.