AN 978: Nios® V Processor Migration Guidelines

ID 773196
Date 5/15/2024
Public
Document Table of Contents

4.2.1.1. Hardware Component

If you compile your design using Quartus® Prime Standard Edition, you can start the migration from Platform Designer. The following figure shows an example of the Nios® II Processor QSYS design.
Figure 1.  Nios® II Processor Qsys Design
  1. From IP Catalog, search for Nios® V processor. Identify your preferred processor core.
  2. Double-click on your selected processor.
  3. The parameters window appears. Select Enable Reset from Debug Module. Click Finish.
  4. The selected processor core is added to your system. Proceed to set the required connections based on the table below:
    Table 7.   Nios® II Processor to Nios® V Processor Interfaces
    Interface Nios® V Processor Nios® II Processor Description
    Clock clock clock Similar clock input
    Reset reset reset Similar hard reset
    Debug reset
    • dbg_reset_out
    • ndm_reset_in
    debug_reset_request Mainly used to issue debug reset from CLI or IDE. By default, this feature is enabled in Nios® II/e processor but disabled in Nios® V/m processor.
    Interrupts platform_irq_rx irq Nios® II/e processor supports 32 platform interrupts. Nios® V/m processor supports 16 platform interrupts.
    Timer and Software Interrupt Module timer_sw_agent Only supported in Nios® V/m and Nios® V/g processors. By default, it is connected to the data manager.
    Debug dm_agent debug_mem_slave Allow JTAG download and debug. For Nios® V/m and Nios® V/g processors, it is connected to the data manager and instruction manager by default. Not applicable to Nios® V/c processor.
    Data bus data_manager data_master
    • Nios® II/e processor uses Avalon® memory-mapped interface.
    • Nios® V/m processor uses Arm* AMBA* AXI-4.
    Instruction bus instruction_manager instruction_master
    • Nios® II/e processor uses Avalon memory-mapped interface.
    • Nios® V/m processor uses Arm AMBA AXI-4.
  5. Open your selected Nios® V processor IP. Click Vectors > Reset Agent and update the parameter as onchip_memory2_0.s1
  6. Remove Nios® II processor from the Platform Designer. A preview of the final system is shown as below.
    Figure 2.  Nios® V Processor System
You can now generate the system HDL and compile Quartus® Prime project. Use the Quartus® Prime Programmer software to configure the design SOF file for design verification.