Intel® MAX® 10 General Purpose I/O User Guide

ID 683751
Date 1/27/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

5.1. GPIO Lite Intel® FPGA IP Parameter Settings

You can set the parameter settings for the GPIO Lite IP core in the Intel® Quartus® Prime software. There are three groups of options: General, Buffer, and Registers.
Table 26.   GPIO Lite Parameters - General
Parameter Condition Allowed Values Description
Data direction
  • input
  • output
  • bidir

Specifies the data direction for the GPIO.

Data width

1 to 128

Specifies the data width.

Table 27.   GPIO Lite Parameters - Buffer
Parameter Condition Allowed Values Description
Use true differential buffer Data direction = input or output
  • On
  • Off

If turned on, enables true differential I/O buffers and disables pseudo differential I/O buffers.

Use pseudo differential buffer Data direction = output or bidir
  • On
  • Off
  • If turned on in output mode—enables pseudo differential output buffers and disables true differential I/O buffers.
  • If turned on in bidir mode—enables true differential input buffer and pseudo differential output buffer.
Use bus-hold circuitry Data direction = input or output
  • On
  • Off

If turned on, the bus hold circuitry can weakly hold the signal on an I/O pin at its last-driven state where the output buffer state will be 1 or 0 but not high-impedance.

Use open drain output Data direction = output or bidir
  • On
  • Off

If turned on, the open drain output enables the device to provide system-level control signals such as interrupt and write enable signals that can be asserted by multiple devices in your system.

Enable oe port Data direction = output
  • On
  • Off

If turned on, enables user input to the OE port. This option is automatically turned on for bidirectional mode.

Enable nsleep port (only available in selected devices) Data direction = input or bidir
  • On
  • Off

If turned on, enables the nsleep port.

This option is available for the 10M16, 10M25, 10M40, and 10M50 devices.

Table 28.   GPIO Lite Parameters - Registers
Parameter Condition Allowed Values Description
Register mode
  • bypass
  • single-register
  • ddr
Specifies the register mode for the GPIO Lite IP core:
  • bypass—specifies a simple wire connection from/to the buffer.
  • single-register—specifies that the DDIO is used as a simple register in single data-rate mode (SDR). The Fitter may pack this register in the I/O.
  • ddr— specifies that the IP core uses the DDIO.
Enable aclr port
  • Register mode = ddr
  • On
  • Off

If turned on, enables the ACLR port for asynchronous clears.

Enable aset port
  • Data direction = output or bidir
  • Register mode = ddr
  • Set registers to power up high (when aclr and aset ports are not used) = off
  • On
  • Off

If turned on, enables the ASET port for asynchronous preset.

Set registers to power up high (when aclr and aset ports are not used)
  • Register mode = ddr
  • Enable aclr port = off
  • Enable aset port = off
  • Enable sclr port = off
  • On
  • Off

If you are not using the ACLR and ASET ports:

  • On—specifies that registers power up HIGH.
  • Off—specifies that registers power up LOW.
Enable inclocken/outclocken ports Register mode = ddr
  • On
  • Off
  • On—exposes the clock enable port to allow you to control when data is clocked in or out. This signal prevents data from being passed through without your control.
  • Off—clock enable port is not exposed and data always pass through the register automatically.
Invert din
  • Data direction = output
  • Register mode = ddr
  • On
  • Off

If turned on, inverts the data out output port.

Invert DDIO inclock
  • Data direction = input or bidir
  • Register mode = ddr
  • On
  • Off
  • On—captures the first data bit on the falling edge of the input clock.
  • Off—captures the first data bit on the rising edge of the input clock.
Use a single register to drive the output enable (oe) signal at the I/O buffer
  • Data direction = output or bidir
  • Register mode = single-register or ddr
  • Use DDIO registers to drive the output enable (oe) signal at the I/O buffer = off
  • On
  • Off

If turned on, specifies that a single register drives the OE signal at the output buffer.

Use DDIO registers to drive the output enable (oe) signal at the I/O buffer
  • Data direction = output or bidir
  • Register mode = ddr
  • Use a single register to drive the output enable (oe) signal at the I/O buffer = off
  • On
  • Off

If turned on, specifies that the DDR I/O registers drive the OE signal at the output buffer. The output pin is held at high impedance for an extra half clock cycle after the OE port goes high.

Implement DDIO input registers in hard implementation (Only available in certain devices)
  • Data direction = input or bidir
  • Register mode = ddr
  • On
  • Off
  • On—implements the DDIO input registers using hard block at the I/O edge.
  • Off—implements the DDIO input registers as soft implementation using registers in the FPGA core fabric.

This option is applicable only for Intel® MAX® 10 16, 25, 40, and 50 devices because the DDIO input registers hard block is available only in these devices. To avoid Fitter error, turn this option off for other Intel® MAX® 10 devices.