Intel® Quartus® Prime Pro Edition User Guide: Timing Analyzer

ID 683243
Date 1/31/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.6.4.1. Entity-bound Constraint Scope

Entity-bound .sdc files can have an automatic or manual scope in your project. The scope determines how widely the constraints apply. Automatic scoping applies by default.
Table 20.  Entity-bound Constraint Scope
Constraint Scope Type Constraints Apply To Enable Instance-bound Scoping
Automatic To all instances of the assigned entity throughout the project, except for top-level ports (get_ports) and clock names (get_clocks). Default mode for SDC_ENTITY_FILE. No additional steps required.
Manual To the current instance of the assigned entity, except for top-level ports and clock names, which have a global scope.

Collection filters also have global scope, unless you prepend them with get_current_instance, which sets the instance scope.

Prepend the collection filter with get_current_instance.

The following example constraint shows use of get_current_instance to return the hierarchical path to the current entity for manual constraint scoping:

set_false_path –from [get_registers "reg_a"] –to \
     [get_pins “[get_current_instance]|*reset”]
Note: If you use the -from * or -to * options without using one of the get_ commands (such as get_keepers), no constraint scoping occurs on those filters (that is to say, scoping is not done on from/to collection filters of *, but scoping can still occur on other collection filters in the same SDC command).