Intel® Stratix® 10通用I/O用户指南

ID 683518
日期 7/09/2018
Public
文档目录

2.3. Intel® Stratix® 10 器件中可编程IOE功能

表 4.   Intel® Stratix® 10可编程IOE功能设置和约束名称
功能特点

设置

条件

Intel® Quartus® Prime

约束名称

摆率控制 0 (慢) 1 (快速)。默认为1。 使用RS OCT功能时禁用。 SLEW_RATE
I/O延迟 请参阅器件数据表

INPUT_DELAY_CHAIN

OUTPUT_DELAY_CHAIN

开漏输出 On,Off。默认为Off。 AUTO_OPEN_DRAIN_PINS
总线保持 On,Off。默认为Off。 使用弱上拉电阻器功能时禁用。 ENABLE_BUS_HOLD_CIRCUITRY
弱上拉电阻器 On,Off。默认为Off。 使用总线保持功能时禁用。 WEAK_PULL_UP_RESISTOR
预加重 0 (禁用)、1 (使能)。默认值是1。 PROGRAMMABLE_PREEMPHASIS
差分输出电压 0 (低)、1 (中度低)、2 (中度高)、3 (高)。默认值是2。 PROGRAMMABLE_VOD
表 5.   Intel® Stratix® 10可编程IOE功能I/O缓冲器类型和I/O标准支持该表格罗列了支持可编程IOE功能的I/O缓冲器类型和I/O标准。有关每种I/O缓冲器类型可用的I/O标准的更多信息,请参阅相关信息。
功能 I/O缓冲器类型支持

I/O标准支持

LVDS I/O 3 V I/O

HPS I/O

(仅适用于SoC器件)

摆率控制 Yes Yes Yes
  • 3.0 V LVTTL
  • 1.2 V1.5 V1.8 V2.5 V3.0 V LVCMOS
  • SSTL-18SSTL-15SSTL-135SSTL-125SSTL-12
  • 1.2 V1.5 V1.8 V HSTL
  • HSUL-12
  • POD12
  • Differential SSTL-18、Differential SSTL-15、Differential SSTL-135、Differential SSTL-125、和Differential SSTL-12
  • Differential 1.2 V1.5 V1.8 V HSTL
  • Differential HSUL-12
I/O延迟 Yes Yes
开漏输出 Yes Yes Yes
  • 3.0 V LVTTL
  • 1.2 V1.5 V1.8 V2.5 V3.0 V LVCMOS
总线保持 Yes Yes
弱上拉电阻器 Yes Yes Yes
预加重 Yes
  • LVDS
  • RSDS
  • Mini-LVDS
  • LVPECL
  • OCT快速摆率模式:
    • POD12和Differential POD12
    • SSTL-12和Differential SSTL-12
差分输出电压 Yes
  • LVDS
  • RSDS
  • Mini-LVDS
  • LVPECL