Intel® Stratix® 10通用I/O用户指南

ID 683518
日期 7/09/2018
Public
文档目录

2. Intel® Stratix® 10 I/O体系结构和功能

Intel® Stratix® 10器件的I/O系统支持各种I/O标准。在 Intel® Stratix® 10器件中,I/O管脚位于I/O bank中。I/O管脚和I/O缓冲器具有多个可编程功能。

Intel® Stratix® 10 I/O支持以下功能:

  • 单端,非基准电压和基准电压I/O标准
  • 低电压差分信(LVDS)、RSDS、mini-LVDS、HSTL、HSUL、SSTL和POD I/O标准
  • 串行器/解串器(SERDES)
  • 可编程输出电流强度
  • 可编程摆率
  • 可编程总线保持
  • 可编程弱上拉电阻器
  • DDR4和LVDS输出缓冲器的可编程预加重
  • 可编程I/O延迟
  • 可编程差分输出电压(OD
  • 可编程开漏输出
  • 有校准或无校准的片上串行匹配(RS OCT)
  • 片上并行匹配(RT OCT)
  • 片上差分匹配(RD OCT)
  • 动态掉电HSTL和SSTL输入缓冲
  • 所有I/O bank的动态片上并行匹配
  • 内部生成带有DDR4校准的VREF
注: 本章节中的信息适用于所有 Intel® Stratix® 10 系列,除非另有说明。