Intel® Stratix® 10通用I/O用户指南

ID 683518
日期 7/09/2018
Public
文档目录

5.2. GPIO Intel® FPGA IP接口信号

根据您指定的参数设置,可用于GPIO IP核的对接信号有所不同。
图 34.  GPIO IP核接口


图 35.  GPIO接口信号


表 26.  焊盘接口信号焊盘接口是GPIO IP核到焊盘的物理连接。该接口可以是输入、输出或者双向接口,具体取决于IP核的配置。如表格中,SIZE是IP核参数编辑器中指定的数据宽度。
信号名称 方向 说明
pad_in[SIZE-1:0] Input

来自焊盘的输入信号。

pad_in_b[SIZE-1:0] Input

来自焊盘的差分输入信号负节点。如果启用Use differential buffer选项,则该端口可用。

pad_out[SIZE-1:0] Output 至焊盘的输出信号。
pad_out_b[SIZE-1:0] Output

至焊盘的差分输出信号负节点。如果启用Use differential buffer选项,则该端口可用。

pad_io[SIZE-1:0] Bidirectional(双向)

与焊盘的双向信号连接。

pad_io_b[SIZE-1:0] Bidirectional

与焊盘的差分双向信号连接负节点。如果开启Use differential buffer选项,则该端口可用。

表 27.  数据接口信号该数据接口是GPIO IP核到FPGA內核的输入或输出接口。如下表格中,SIZE是IP核参数编辑器中指定的数据宽度。
信号名称 方向 说明
din[DATA_SIZE-1:0] Input

输出或双向模式下来自FPGA內核的数据输入。DATA_SIZE取决于寄存器模式:

  • 旁路或简单寄存器 — DATA_SIZE = SIZE
  • 无半速率逻辑的DDIO — DATA_SIZE = 2 × SIZE
  • 具有有半速率逻辑的DDIO — DATA_SIZE = 4 × SIZE
dout[DATA_SIZE-1:0] Output

数据输出至输入或双向模式下中的FPGA內核,DATA_SIZE取决于寄存器模式:

  • 旁路或简单寄存器 — DATA_SIZE = SIZE
  • 无半速率逻辑的DDIO — DATA_SIZE = 2 × SIZE
  • 具有半速率逻辑的DDIO — DATA_SIZE = 4 × SIZE
oe[OE_SIZE-1:0] Input

在启用Enable output enable port的输出或双向模式下来自FPGA內核的OE输入。OE为高有效电平。发送数据时,将该信号设置为1。接收数据时,将该信号设置为0。OE_SIZE取决于寄存器模式:

  • 旁路或简单寄存器 — DATA_SIZE = SIZE
  • 无半速率逻辑的DDIO — DATA_SIZE = SIZE
  • 具有半速率逻辑的DDIO — DATA_SIZE = 2 × SIZE
表 28.  时钟接口信号时钟接口是输入时钟接口。由各种信号组成,具体取决于配置。此GPIO IP核可以有0、1、2或4个时钟输入。各个时钟端口在不同配置中显示不同,以反映时钟信号执行的实际功能。
信号名称 方向 说明
ck Input

在输入和输出路径中,如果启用Half Rate logic参数,该时钟就会驱动一个封装的寄存器或者DDIO。

双向模式下,如果关闭Separate input/output Clocks参数,该时钟就是输入或输出路径的唯一时钟。

ck_fr Input

在输入或输出路径中,如果启用Half Rate logic参数,则这些时钟会驱动全速率或半速率DDIO。

双向模式下,如果关闭Separate input/output Clocks参数,则输入和输出路径就会使用这些时钟。

ck_hr
ck_in Input

双向模式下,如果同时指定以下两种设置,则这些时钟就会驱动输入和输出路径中的封装寄存器或DDIO:

  • 关闭Half Rate logic参数。
  • 启用Separate input/output Clocks参数。
ck_out
ck_fr_in Input

在双向模式下, 如果同时指定这两种设置,则这些时钟就会在输入和输出路径下驱动全速率和半速率DDIOS:

  • 启用Half Rate logic参数。
  • 启用Separate input/output Clocks参数。

例如,ck_fr_out驱动输出路径中的全速率DDIO。

ck_fr_out
ck_hr_in
ck_hr_out
cke Input 时钟使能。
表 29.  终端接口信号终端接口将GPIO IP核连接到I/O缓冲器。
信号名称 方向 说明
seriesterminationcontrol Input 从终端控制块(OCT)到缓冲器的输入。该信号设置缓冲器串行阻抗值。
parallelterminationcontrol Input 从终端控制块(OCT)到缓冲器的输入。该信号设置缓冲器并行阻抗值。
表 30.  复位接口信号复位接口将GPIO IP核连接到DDIO。
信号名称 方向 说明
sclr Input 同步清除输入。如果使能sset,则不可用。
aclr Input 异步清除输入。高有效电平。如果使能aset,则不可用。
aset Input 异步设置输入。高有效电平。如果使能aclr,则不可用。
sset Input 同步设置输入。如果使能sclr,则不可用。