Intel® Stratix® 10通用I/O用户指南

ID 683518
日期 7/09/2018
Public
文档目录

1.1. Intel® Stratix® 10I/O和差分I/O缓冲器

通用I/O (GPIO)由LVDS I/O3 V I/O bank组成:

  • LVDS I/O bank — 最高支持1.8 V差分和单端I/O标准。LVDS I/O管脚形成真差分LVDS通道的管脚对。每对支持两个管脚之间的并行输入/输出匹配。可将每个LVDS通道仅用作发送器或仅用作接收器。每个LVDS通道支持带DPA电路的发送SERDES和接收SERDES。例如:如果将72个通道中的30个通道用作发送器,则可将其余42个通道用作接收器。
  • 3 V I/O bank — 最高支持3 V单端和differential SSTL、HSTL和HSUL I/O标准。 Intel® Stratix® 10器件中,每个3 V I/O bank仅为其8八个单端I/O提供2个输出使能(OE)。这个I/O bank内的单端I/O支持所有可编程的I/O单元(IOE)功能,除了:
    • 可编程预加重
    • RD片上匹配(OCT)
    • 校准RS和RT OCT
    • 内部VREF生成
    • 动态OCT
注: Intel® Stratix® 10器件中的3 V I/O bank不支持GPIO IP核的DDIO功能。如果使用的I/O标准仅有3 V I/O bank支持,(例如:3.0 V LVCMOS),则旁路DDIO。要旁路DDIO功能,将GPIO IP核的Register mode设置成none
注: 3 V I/O bank位于 Intel® Stratix® 10收发器tile。仅L-tile和H-tile收发器tile具有这些bank。