Intel® Stratix® 10通用I/O用户指南

ID 683518
日期 7/09/2018
Public
文档目录

4.1.1.2. 输出或输出使能路径

输出延迟单元通过输出缓冲器将数据发送到焊盘。

每个LVDS I/O输出路径包含两级DDIO,分别是半速率和全速率。

3 V I/O不支持DDIO。

图 24. 单端GPIO输出路径简化图


图 25. 半速率转换的DDIO模式下的输出路径波形
图 26. 输出使能路径简化图


输出路径和输出使能(OE)路径之间的区别在于OE路径不包含全速率DDIO。为支持OE路径中实现封装寄存器(packed-register),可将一个简单寄存器作为全速率DDIO运行。出于相同原因,仅有一个半速率DDIO。

OE路径以如下三种基本模式下运行:

  • Bypass(旁路) — 内核直接将数据发送到延迟单元、旁路所有DDIO。
  • Packed Register (封装寄存器)— 旁路半速率DDIO。
  • SDR output at half-rate(半速率的SDR输出)—半速率DDIO将数据从全速率转换成半速率。

Intel® Stratix® 10器件中,每个3 V I/O bank仅为其8八个单端I/O提供2个输出使能(OE)。

注: GPIO IP核不支持输出路径的动态校准。对于需要动态校准的输出路径应用程序,请参阅相关信息。