Triple-Speed Ethernet Intel Agilex® 7 FPGA IP Design Example User Guide

ID 741330
Date 10/02/2023
Public

2. 10/100/1000 Multiport Ethernet MAC Design Example with 1000BASE-X/SGMII PCS and Embedded PMA

This design example demonstrates an Ethernet solution for Intel Agilex® 7 devices using the Triple-Speed Ethernet IP. You can generate the design from the Example Design tab of the Triple-Speed Ethernet IP parameter editor.

To generate the design example, you must first set the parameter values for the IP variation you intend to generate in your end product. Generating the design example creates a copy of the IP. The testbench and hardware design example use the copy of the IP as the device under test (DUT). If you do not set the parameter values for the DUT to match the parameter values in your end product, the design example you generate does not exercise the IP variation that you intend.

Note: The testbench demonstrates a basic test of the IP. It is not intended to be a substitute for a full verification environment. You must perform more extensive verifications of your own Triple-Speed Ethernet design in simulation and in hardware.