Triple-Speed Ethernet Intel Agilex® 7 FPGA IP Design Example User Guide

ID 741330
Date 10/02/2023
Public

4. Document Revision History for the Triple-Speed Ethernet Intel Agilex® 7 FPGA IP Design Example User Guide

Document Version Intel® Quartus® Prime Version IP Version Changes
2023.10.02 23.3 22.1.0
  • Updated OPN number in the following topics:
    • Hardware and Software Requirements topic.
    • Generating the Design Example topic.
  • Updated Generating the Design Example topic with preset name.
  • Updated the following diagrams with clock frequencies:
    • Hardware Design Block Diagram—Multiport Triple-Speed Ethernet Intel FPGA IP Hardware Design Example diagram.
    • Block Diagram of the Design Example Multiport 10/100/1000Mb Ethernet MAC with 1000BASE-X/SGMII PCS with LVDS I/O Simulation Testbench diagram.
  • Updated the steps in Test Procedure topic.
  • Added a new Design Constraints topic.
2023.04.17 23.1 21.1.0
  • Removed note in Quick Start Guide topic.
  • Updated Example Design Tab in the Triple-Speed Ethernet Intel FPGA IP Parameter Editor figure.
  • Updated Compiling and Configuring the Design Example in Hardware topic to include steps to program hardware design example.
  • Update Features topic.
  • Removed note in Hardware and Software Requirements topic.
  • Added a new diagram: Hardware Design Block Diagram—Multiport Triple-Speed Ethernet Intel FPGA IP Hardware Design Example in Functional Description topic.
  • Added a new topic: Hardware Testing.
  • Updated Test Procedure topic.
  • Added a new topic: Interface Signals.
  • Added a new topic: Hardware Limitations.
  • Updated product family name to "Intel Agilex® 7".
2022.12.09 22.3 21.1.0 Initial release.