PHY Lite for Parallel Interfaces Intel® FPGA IP User Guide

ID 683716
Date 9/01/2021
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

6. Document Revision History for the PHY Lite for Parallel Interfaces IP User Guide

Document Version Intel® Quartus® Prime Version Changes
2021.09.01 21.1 Removed unsupported VREF modes from the VREF_MODE Description table for the PHY Lite for Parallel Interfaces Intel® Agilex™ FPGA IP: VCCIO_45, VCCIO_50, VCCIO_55, VCCIO_65, VCCIO_70, VCCIO_75.
2021.07.16 21.1
  • Updated the figure showing the I/O bank structure to improve clarity and to remove 3 V I/O.
  • Updated the PHY Lite for Parallel Interfaces Intel® Agilex™ FPGA IP v21.0.0 as follows:
    • Restructured the About the PHY Lite for Parallel Interfaces IP section.
    • Updated the column header of the Pins Usable as Read Capture Clock / Strobe Pair table.
    • Updated the Output Path section.
    • Added description for the Example Output for Quarter Rate DDR diagram.
    • Updated the Dynamic Reconfiguration Guidelines section.
    • Updated the Strobe Enable Window Calibration section.
    • Added the Input DQ/DQS Delay Chains Maximum Values section.
    • Added the I/O Timing section.
    • Updated the values for Capture strobe phase shift and Strobe configuration in the PHY Lite for Parallel Interfaces IP Parameter Settings table.
    • Updated the Input Buffer Reference Voltage (VREF) section.
      • Updated the VREF range selection via QSF for POD 1.2 V assignment command.
      • Added support for Calibrated VREF via dynamic reconfiguration.
      • Added DDR4_CAL and DDR4_CAL_RANGE2 VREF modes in the VREF_MODE Description table.
  • Updated the PHY Lite for Parallel Interfaces Intel® Stratix® 10 FPGA IP as follows:
    • Updated the Strobe Enable Window Calibration section.
    • Updated the Dynamic Reconfiguration section.
  • Updated the Strobe Enable Window Calibration section for the PHY Lite for Parallel Interfaces Intel® Arria® 10 FPGA IP and PHY Lite for Parallel Interfaces Intel® Cyclone® 10 GX FPGA IP.
  • Removed references to the NCSim simulator.
  • Updated the topic listing the document archives to correct the topic title and the titles of the archived documents from Intel® Quartus® Prime versions 18.0 through 20.3.
2021.02.04 20.4

Updated the PHY Lite for Parallel Interfaces Intel® Agilex™ FPGA IP v20.3.0 as follows:

  • Updated the I/O resource and added support for dynamically reconfigurable delay chains using Avalon® memory-mapped interface for Intel® Agilex™ devices in the Features section.
  • Updated the Intel® Agilex™ I/O Sub-bank Interconnects section.
    • Stated that each sub-bank is labeled with ID number to facilitate pin placement.
    • Updated figure titles and added ID numbers in the diagrams.
  • Updated the Pins Usable as Read Capture Clock / Strobe Pair table.
  • Updated the maximum frequency for speed grade –2 and –3 in the PHY Lite for Parallel Interfaces Intel® Agilex™ FPGA IP Supported Interface Frequency table.
  • Added the Dynamic Reconfiguration section.
  • Updated the PHY Lite for Parallel Interfaces IP Parameter Settings table.
    • Updated values for Clock rate of user logic, Use dynamic reconfiguration, and Pin width.
    • Added the Pin Placement section.
    • Removed the Expose termination ports parameter.
    • Removed the Group <x> Placement Settings section.
  • Removed the Termination Signals and Manual Insertion of OCT Block sections.
  • Updated the steps to set RZQ pin locations in the RZQ_GROUP Assignment section.
  • Updated the Guidelines: Group Pin Placement section.
    • Updated the guidelines for group pin placement.
    • Updated the Pin Index Mapping table.
    • Added guidelines and example for automatic and manual pin placement.
    • Removed the Example of Occupied Data Pins for a Single Group Using Automatic Pin Placement and Example of Occupied Data Pins for a Single Group Using Manual Pin Placement diagrams.
  • Added command codes in the Reference Clock section.
  • Added the Generate the Design Example section. Included Generate the Simulation Design Example as a sub section.

Updated the Guidelines: Group Pin Placement sections for the following IPs:

  • PHY Lite for Parallel Interfaces Intel® Stratix® 10 FPGA IP
  • PHY Lite for Parallel Interfaces Intel® Arria® 10 FPGA IP
  • PHY Lite for Parallel Interfaces Intel® Cyclone® 10 GX FPGA IP
Document Version Intel® Quartus® Prime Version Changes
2020.11.13 20.3
  • Updated the figure showing the I/O bank structure to add the pin naming orientation.
  • Repaired multiple broken links and descriptions throughout the document.
2020.10.19 20.3
  • Added information about PHY Lite for Parallel Interfaces Intel® Agilex™ FPGA IP v20.3.0 support in Intel® Agilex™ devices.
  • Restructured the user guide to separate the information into specific devices.
2020.06.30 20.2 Updated the Intel® Stratix® 10 I/O Bank Structure figure showing the I/O bank structure:
  • Added I/O bank structure for Intel® Stratix® 10 GX 10M device.
  • For I/O banks figure of other Intel® Stratix® 10 devices:
    • Marked only bank 3A as SDM shared LVDS I/O.
    • Marked HPS shared LVDS I/Os.
    • Added 3 V I/O banks 7A, 7B, and 7C.
Document Version Intel® Quartus® Prime Version IP Version Changes
2020.02.24 19.3 19.1
  • Added Why is the read data value incorrect for the DQS input delay when using the Dynamic Reconfiguration mode in the Intel® Arria® 10 PHYLite IP? KDB link to the Dynamic Reconfiguration topic.
  • Editorial updates for the Output Path — Write Latency 2 and Input Path ─ Read Latency 7 figures.
  • Updated dqs_enable signals in the Input Path figure to match signal names in Blocks in Data, Strobe, and Read Enable Paths table.
  • Removed redundant signal description for avl_writedata in the Avalon Memory-Mapped Master Interface Signals table.
  • Rebranded Avalon-MM to Avalon Memory-Mapped Interface.
2019.12.16 19.3 19.1
  • Updated note and related information link on using .sdc file in encrypted IOPLL Intel FPGA IP instances in the Reference Clock topic.
2019.11.07 19.3 19.1
  • Added the following topics:
    • Release Information
    • Timing Closure: Input Strobe Setup and Hold Delay Constraints
    • Timing Closure: Output Strobe Setup and Hold Delay Constraints
  • Added KDB link Why does the PHY Lite for Parallel Interfaces Intel® Stratix® 10 FPGA IP cannot be assigned to Bank 3A or 3D when using the Intel® Stratix® 10 1ST040* device? to Functional Description chapter.
  • Added KDB link Error(14566): The Fitter cannot place 1 periphery component(s) due to conflicts with existing constraints (1 PHYLITE_GROUP(s)). to Constraining Multiple PHY Lite for Parallel Interfaces to One I/O Bank chapter.
  • Added KDB link Warning: Failed to find atom information in IOPLL SDC: ERROR: Cannot access ENUM_IOPLL_FEEDBACK data of the encrypted atom node 111916. This operation involves an encrypted atom node. Use the BOOL_ENCRYPTED test to avoid such nodes and the error. to Reference Clock topic.
2019.04.04 19.1 19.1
  • Added Can the Intel® Arria® 10 and Intel® Cyclone® 10 GX I/O PLL have a VCO frequency below the minimum value shown in the device datasheets? KDB link in Clocks, Clock Frequency Relationships, and Parameter Settings sections.
2019.04.01 19.1 19.1
  • Added new parameter Reference clock I/O configuration in PHY Lite for Parallel Interfaces IP Core Parameter Settings table.
  • Removed information on manual assignment for reference clock I/O standards in the I/O Standards chapter.
2019.01.09 18.1 18.1 Added estimation time for a delay register value to change in Reconfiguration Features and Register Addressing.
2018.09.21 18.1 18.1
  • Clarified the definition for full, half, and quarter core clock rate in PHY Lite for Parallel Interfaces Supported Interface Frequency tables.
  • Added legend and updated Input Path figure to show each path and distinguish internal and external signals.
  • Updated description for data, strobe, and read and strobe enable paths in Blocks in Data, Strobe, and Read and Strobe Enable Paths table.
  • Updated read operation description in Read Operation Sequence table.
  • Updated Input Path Waveform, Output Path - Write Latency 0, and Output Path - Write Latency 3 figures.
  • Updated description in Input Path Signals table.
  • Added a note to rdata_en signal in Input Path Signals to describe when user should assert the signal when using PHY Lite for Parallel Interfaces IP as a receiver.
  • Clarified that only when External Memory Interface with Debug Component IP cores exists in the design with PHY Lite for Parallel Interfaces, the First PHYLite Instance in the Avalon Chain parameter should be disabled.
  • Added new parameter Fast simulation model in PHY Lite for Parallel Interfaces table.
  • Updated RZQ_GROUP Assignment topic with steps to manually assign user defined RZQ pin location.
  • Added the following topics:
    • Example of Accessing Dynamic Reconfiguration Control Registers using Parameter Table
    • Example of Accessing Dynamic Reconfiguration Control Registers using Avalon Controller
  • Removed description on supported devices for tables with information that supports all devices.
  • Clarified that PHY Lite for Parallel Interfaces in Intel® Arria® 10 and Intel® Cyclone® 10 GX devices do not support exposing additional output clocks if the VCO frequency is lower than 600 MHz in PHY Lite for Parallel Interfaces IP Core Parameter Settings table.
  • Added pll_extra_clock[0..3] and pll_locked signals in Clock and Reset Interface Signals table.
  • Updated Output Path and Input Path block diagrams with parameters that impact the internal modules.
2018.06.06 18.0 18.0
  • Removed For Intel® Arria® 10 and Intel® Cyclone® 10 GX devices, this value is for DQS output strobe. For Intel® Stratix® 10 devices, this value is for both DQ and DQS output strobe. note from Pin Output Delay feature in Control Register Description table.
2018.05.07 18.0 18.0
  • Changed VCCN voltage supply name to VCCIO.
  • Renamed Addressing section to Reconfiguration Features and Register Addressing.
  • Added Control Register Addresses tables for Intel® Stratix® 10, Intel® Arria® 10, and Intel® Cyclone® 10 GX devices.
  • Added Control Registers Description table for Intel® Stratix® 10, Intel® Arria® 10, and Intel® Cyclone® 10 GX devices.
  • Added How can the PHY Lite IP RZQ pin location be assigned? Knowledge Base Link in On-Chip Termination (OCT) section.
  • Added First PHYLite Instance in the Avalon Chain parameter to the PHY Lite for Parallel Interfaces IP Core Parameter Settings table.
  • Made Example Design Avalon Controller section as a sub-section in Dynamic Reconfiguration with Debug Kit Design Example.
  • Updated Avalon Controller Registers table with register descriptions.
  • Renamed Pin Output Phase feature to Pin Output Delay.
  • Updated the minimum interface frequency recommended for dynamic reconfiguration to 533 MHz in the PHY Lite for Parallel Interfaces IP Core Parameter Settings table.
  • Updated all IP names as per Intel rebranding.
Date Version Changes
November 2017 2017.11.30
  • Added information about Intel FPGA PHYLite for Parallel Interfaces in Intel® Stratix® 10 and Intel® Cyclone® 10 GX devices.
  • Added note to Reference Clock about using cascaded PLL as a reference clock in Intel® Arria® 10 devices and a link to the KDB.
  • Rebranded to Intel FPGA PHYLite for Parallel Interfaces IP core.
June 2017 2017.06.16
  • Added a note for the I/O Column for Arria 10 Devices figure.
  • Updated Top-Level Interface diagram.
  • Updated OCT section.
  • Updated Guidelines: Group Pin Placement section.
  • Updated the reference clock source in the Reference Clock section.
  • Added Reset section.
  • Added a note on Report DDR function in "<variation_name>_report_timing.tcl" section.
  • Updated Altera PHYLite for Parallel Interfaces IP Core Parameter Settings table.
    • Removed Use core PLL reference clock connection parameter.
    • Added description for outclk (Reserved) parameter.
    • Updated OCT enable size values and description.
    • Added new parameter: Expose termination ports.
  • Updated the description for ref_clk and interface_locked signals in the Clock and Reset Interface Signals table.
  • Updated the description for data_in and data_io signals in Input Path Signals table.
  • Rebranded as Intel.
February 2017 2017.02.24
  • Removed 30 and 40 Ohms termination values for SSTL-125, SSTL-135, and SSTL-15 I/O standards.
  • Added a footnote to I/O Standards table recommending to use I/O standards SSTL-15 Class I, SSTL-15 Class II, SSTL-18 Class I, SSTL-18 Class II, 1.2V HSTL Class I, 1.2V HSTL Class II, 1.5V HSTL Class I, 1.5V HSTL Class II, 1.8V HSTL Class I, and 1.8V HSTL Class II for interface frequency equal or less than 533 MHz and if input termination required.
  • Added a footnote to I/O Standards table recommending to use I/O standards SSTL-12, SSTL-125, SSTL-135, and SSTL-15 for interface frequency more than 533 MHz and if input termination required.
October 2016 2016.10.28
  • Added OCT section.
  • Clarified that output terminations can be calibrated and uncalibrated in I/O Standards table.
  • Added footnote to clarify that uncalibrated output terminations do not require RZQ pin in I/O Standard table.
  • Clarified ONFI device support is for synchronous mode only.
  • Updated Altera PHYLite for Parallel Interfaces IP Core supported Interface Frequency table.
  • Clarified that reference clock using differential I/O standards support LVDS input buffer only.
  • Updated I/O standards table with Valid Input Termination values.
  • Added new guidelines to Group Pin Placement section.
  • Updated Avalon Address for following features in the Address Map table:
    • Pin PVT Compensated Input Delay
    • Strobe PVT compensated input delay
    • Strobe enable phase
  • Added Altera PHYLite NAND Flash design example in Application Specific Design Example section.
  • Removed IP Migration for Arria V, Cyclone V, and Stratix V section.
May 2016 2016.05.02
  • Change External memory clock domain to Interface clock domain.
  • Removed VCO Frequency Multiplication Factor table.
  • Updated equation to calculate values for Input Strobe Setup Delay Constraint and Input Strobe Hold Delay Constraint parameters.
  • Updated Address Map table with values to enable Avalon address and CSR address.
  • Added a note to show the location of the Altera PHYLite for Parallel Interfaces IP core in IP Catalog.
  • Updated values for OCT enable size parameter.
  • Added reference link to I/O Standards table in Data configuration parameter description.
  • Added VCO clock frequency parameter in Parameter Settings table.
  • Updated Minimum Read Latency and Maximum Write Latency tables.
  • Updated PHYLite_delay_calculations.xlsx file.
  • Added issp.tcl file description in Dynamic Reconfiguration with Debug Kit Design Example Generated Files table.
  • Updated steps to generate Dynamic Reconfiguration with Debug Kit design example.
  • Added functional description, simulation steps and result to Dynamic Reconfiguration with Configuration Control Module Design Example.
  • Added Altera PHYLite for Parallel Interfaces IP Core Document Archives section.
December 2015 2015.12.11
  • Changed Input Path Waveform figure label from "Intrinsic output delay at current in and out rates and frequency" to "Intrinsic input delay at current in and out rates and frequency".
November 2015 2015.11.02
  • Added Altera PHYLite for Parallel Interface IP core uses cases.
  • Clarified the condition for reference clock restriction in Reference Clock section.
  • Added description for <variation_name>_parameter.tcl, <variation_name>_report_timing.tcl, and <variation_name>_report_parameter_core.tcl files into Timing Constrains and Files section.
  • Provided example timing constraint command for increasing hold time uncertainty value.
  • Added footnote to clarified functionality for DQS A and DQS B signals.
  • Added new parameters in the Altera PHYLite for Parallel Interfaces IP Core Parameter Settings table:
    • Copy parameters from another group
    • Group
    • OCT enable size
    • Inter Symbol Interference of the Read Channel
    • Inter Symbol Interference of the Write Channel
    • Group <x> Dynamic Reconfiguration Timing Settings
  • Added new dynamic reconfiguration with debug kit hardware example design.
  • Added Write Latencies table in Parameter Settings.
  • Updated Read Latencies table.
  • Changed instances of Quartus II to Quartus Prime.
June 2015 2015.06.12
  • Updated Avalon Address R/W from 3'h2 to 3'h4 for all features in Address Map table.
  • Added new parameter Use core PLL reference clock connection and Data configuration in Altera PHYLite for Parallel Interfaces IP Core Parameter Settings table.
  • Updated values in VCO Frequency Multiplication Factor table.
January 2015 2015.01.28 Updated related information link to Functional Description for External Memory Interfaces in Arria 10 Devices.
December, 2014 2014.12.30
  • Updated the name of the IP core from Altera PHYLite for Memory to Altera PHYLite for Parallel Interfaces.
  • Updated the maximum clock frequency from 800 MHz to 1333.333 MHz.
  • Clarified that to achieve timing closure at 800 MHz and above, you must use dynamic reconfiguration to calibrate the interface.
  • Added data_out_n/data_io_n signals to the Output Path Signals table.
  • Added data_in_n/data_io_n signals to the Input Path Signals table.
  • Updated data_out/data_io and data_in/data_io signals in the Input Path Signals and Output Path Signals tables.
  • Updated Parameter Settings table to include Group <x> Timing Settings information.
  • Updated Timing section to include Input Strobe Setup Delay Constrain and Input Strobe Hold Delay Constrain parameters information.

August, 2014

2014.08.18

  • Renamed the term megafunction to IP core.
  • Added information about output path data alignment, input path data alignment, OCT, I/O standards, placement restrictions, timing, dynamic reconfiguration.
  • Added the PHYLite_delay_calculations.xlsx file.
  • Replaced ALTERA_PHYLite_nand_flash_example_131a10.qar file with nand_flash_example_14.0a10.qar file.

November, 2013

2013.11.29

Initial release.