AN 539: Test Methodology of Error Detection and Recovery using CRC in Intel FPGA Devices

ID 683075
Date 8/09/2019
Public
Document Table of Contents

1.3.1.1. Detecting CRC Errors through the CRC_ERROR Output Signal

To detect CRC errors through the CRC_ERROR output signal, use the CRC_ERROR output signal. Check whether a CRC error has been found in the CRC calculation before unloading the contents of the EMR. To do this, route the crcerror port from the WYSIWYG atom to the dedicated CRC_ERROR pin or any user I/O. To route the crcerror port to a user I/O, you must insert a D flipflop (DFF) in between the crcerror port and the user I/O.