Low Latency E-Tile 40G Ethernet Intel® FPGA IP Design Example User Guide

ID 683747
Date 6/22/2020
Public

1.1. Generating the Design Example

Figure 2. Procedure
Figure 3. Example Design Tab in the Low Latency E-Tile 40G Ethernet Parameter EditorSelect Stratix 10 TX E-Tile Transceiver Signal Integrity Development Kit to generate design example for Intel® Stratix® 10 devices. Select Agilex F-series Transceiver-SoC Development Kit to generate design example for Intel® Agilex™ devices.

Follow these steps to generate the hardware design example and testbench:

  1. In the Intel® Quartus® Prime Pro Edition software, click File > New Project Wizard to create a new Intel® Quartus® Prime project, or File > Open Project to open an existing Intel® Quartus® Prime software project. The wizard prompts you to specify a device family and device.
    Note: The design example overwrites the selection with the device on the target board. You specify the target board from the menu of design example options in the Example Design tab (Step 8).

  2. In the IP Catalog, locate and select Low Latency E-Tile 40G Ethernet Intel® FPGA IP . The New IP Variation window appears.
  3. Specify a top-level name for your custom IP variation. The Intel® Quartus® Prime IP parameter editor saves the IP variation settings in a file named <your_ip> .ip.
  4. Click OK. The IP parameter editor appears.
  5. On the IP tab, specify the parameters for your IP core variation.
    Note: The Low Latency E-Tile 40G Ethernet Intel® FPGA IP design example does not simulate correctly and does not function correctly if you specify any of the following parameters:
    • Enable preamble pass-through turned on
    • Ready latency set to the value of 3
    • Enable TX CRC insertion turned off
  6. On the Example Design tab, under Example Design Files, enable the Simulation option to generate the testbench, and select the Synthesis option to generate the compilation-only and hardware design examples.
    Note: On the Example Design tab, under Generated HDL Format, only Verilog HDL is available. This IP core does not support VHDL.
  7. Under Target Development Kit select the Stratix 10 TX E-Tile Transceiver Signal Integrity Development Kit or the Agilex F-series Transceiver-SoC Development Kit .
    Note:

    The development kit that you select overwrites the device selection in Step 1.

    • Intel® Stratix® 10 E-tile target device is 1SG280LU3F50E3VGS1.
    • Intel® Agilex™ E-tile device target is AGFB014R24A2E2VR0.
  8. Click the Generate Example Design button. The Select Example Design Directory window appears.
  9. If you want to modify the design example directory path or name from the defaults displayed ( alt_e40c3_0_example_design ), browse to the new path and type the new design example directory name (<design_example_dir>).
  10. Click OK.