Intel Agilex® 7 Variable Precision DSP Blocks User Guide

ID 683037
Date 10/02/2023
Public
Document Table of Contents

9.7. Parameters

The following table lists the parameters for the LPM_DIVIDE Intel FPGA IP core.