用于 PCI Express* 的 Intel® FPGA R-tile Avalon® Streaming IP用户指南

ID 683501
日期 3/28/2022
Public

本文档可提供新的版本。客户应 单击此处 前往查看最新版本。

文档目录

4.4.2. 精确时间测量(PTM)接口(仅端点)

以下是IP和FPGA核架构之间的PTM接口信号。仅支持x16和x8 PCIe模式。此接口支持的精确度是+/-50纳秒。

注: 仅Ports 0和1支持PTM。
表 58.  PTM接口信号
信号名称 方向 描述 EP/RP/BP 时钟
pX_ptm_context_valid_o Output 该信号置位后,指示ptm_time总线上的值有效。Hardware will deassert this bit whenever a 一旦需要PTM对话并且进入更新处理中,则硬件将解除对该位的置位。 EP coreclkout_hip
pX_clk_updated_o Output 这个时钟脉冲表示PTM对话已完成,并且该操作的结果已在ptm_time总线上驱动。 EP coreclkout_hip
pX_ptm_local_clock_o[63:0] Output 该总线包含在t1’处计算的主控时间,如PCIe spec中所示,加上任何延迟来进行该计算并将该值驱动到requester(事务发起方)。 EP coreclkout_hip
pX_ptm_manual_update_i Input 当用户应用程序需要请求一次PTM握手以获得最近一次的快照时,将该一个coreclkout_hip时钟置位为高电平。 EP coreclkout_hip

有关更多详细信息,请参阅 PCI Express* Base Specification Revision 5.0 Version 1.0Section 6.22 Precision Time Measurement (PTM) Mechanism(第6.22节的精确时间测量(PTM)机制)