General-Purpose I/O User Guide: Agilex™ 5 FPGAs and SoCs

ID 813934
Date 4/05/2024
Public
Document Table of Contents

7.7.3.4. DDIO Output Register

Table 66.  DDIO Output Register .sdc Command Examples
Command Command Example Description
create_clock and create_generated_clock

create_clock -name ddio_out_clk -period "200 MHz" ddio_out_clk

create_generated_clock -source ddio_out_clk -name ddio_out_outclk ddio_out_outclk

Generate the clocks to the DDIO and the clock to transmit.
set_output_delay

set_output_delay -clock ddio_out_outclk 0.55 ddio_out_data

set_output_delay -add_delay -clock_fall -clock ddio_out_outclk 0.55 ddio_out_data

Instruct the Timing Analyzer to analyze the positive and negative data against the output clock.
set_false_path

set_false_path -rise_from ddio_out_clk -fall_to ddio_out_outclk

set_false_path -fall_from ddio_out_clk -rise_to ddio_out_outclk

Instruct the Timing Analyzer to ignore the rising edge of the source clock against the falling edge of the output clock, and the falling edge of source clock against rising edge of output clock