Intel® Quartus® Prime Pro Edition User Guide: Partial Reconfiguration

ID 683834
Date 4/03/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.8.6.2. Simulating Unknown Outputs and Persona Activation

To simulate the unknown outputs and persona activation that occurs during the partial reconfiguration process, follow these steps:

  1. Ensure that the affected PR region has the simulation output multiplexes driven to X by asserting the pr_activate signal when the simulation state is PR_IN_PROGRESS.
  2. In addition, you must assert the pr_activate signal in the PR simulation model to load all registers in the PR model with the PR activation value.
  3. Once the simulation state reaches PR_COMPLETE_SUCCESS, activate the appropriate PR persona using the appropriate PR region simulation wrapper mux sel signals.
  4. Decode the region, as well as the specific select signal from the sim_only_pr_id signal of the SDM. This PR ID corresponds to the encoded ID in the simulation RBF.

The SDM simulation model checks encoded instructions in the following simulation RBF locations:

  • 1st (0x97566593)
  • 2nd (0x4422XXXX)
  • 3rd (0x5056XXXX)

If any encoded instructions do not stream into the model in the specified location, the simulation model triggers a PR error, and the error state is reflected in the Partial Reconfiguration Controller Intel FPGA IP or in the Partial Reconfiguration External Configuration Controller Intel FPGA IP.

If the exact number of dummy data that NNNN specifies does not stream into the simulation model, the model outputs an info message (PR warning: Exceed expected length of data!) to indicate the mismatch number of data sent. No PR error triggers in this case.