Intel® Agilex™ 7 General-Purpose I/O User Guide: F-Series and I-Series

ID 683780
Date 2/20/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

6.2.7.1. Generating the Intel® Quartus® Prime Design Example

The make_qii_design.tcl script generates a synthesizable design example along with an Intel® Quartus® Prime project, ready for compilation.
To generate a synthesizable design example, follow these steps.
  1. After generating the IP together with the design example files, run the following script at the command prompt: quartus_sh -t make_qii_design.tcl.
  2. If you want to specify an exact device to use, use the following command: quartus_sh -t make_qii_design.tcl <device_name> .
The script generates a qii directory that contains the ed_synth.qpf project file. You can open and compile this project in the Intel® Quartus® Prime software.