Arria V Avalon-ST Interface for PCIe Solutions: User Guide

ID 683660
Date 5/12/2017
Public
Document Table of Contents

C.1. Document Revision History of the Arria V Avalon® Streaming (Avalon-ST) Interface for PCIe* Solutions User Guide

Date

Version

Changes Made

2020.12.21 18.0 Fixed broken links.
2020.06.02 18.0

Changed the clock associated with the tl_cfg_add[3:0] and tl_cfg_sts[52:0] to coreclkout_hip in the Transaction Layer Configuration Space Signals section.

Updated the Configuration Space Register Access Timing section to state that the tl_cfg_add[3:0] and tl_cfg_ctl[31:0] update every eight coreclkout_hip cycles.

2020.03.19 18.0 Updated reset sequence and descriptions in Reset and Clocks to show that reset_status is the output that can be used to drive the reset of the Application Layer logic.
2019.12.20 18.0 Changed the name of the 1A state for the ltssmstate[4:0] signals to Recovery.Speed to follow the PCIe Specifications.
2019.12.02 18.0 Changed the description of the parameter BAR Size for Legacy Endpoint variants from 6 Bytes - 4 KB to 16 Bytes - 4 KB (for I/O space BARs).
2019.10.09 18.0 Added the 1F state (Recovery.Equalization, Done) for ltssmstate[4:0].
2019.05.22 18.0 Added a note clarifying that the 24-bit Class Code register consists of three 8-bit fields: Base Class Code, Sub-Class Code and Programming Interface.
2019.05.03 18.0 Updated the diagram for the reset sequence of the Hard IP for PCI Express IP Core and Application Layer to reflect the real behavior of reset_status.
2019.01.18 18.0

Removed the High and Maximum options for the RX buffer allocation parameter because they are not supported.

Changed the readyLatency of the RX interface to 3 cycles.

2018.12.28 18.0 Added a note clarifying that the IP core can support up to 256 tags only when in Configuration Bypass mode.
2018.09.11 18.0 Updated the description for pld_clk in the Clock Signals and Clock Summary sections. Also updated the clock domain in the timing diagrams in the Data Alignment and Timing for the 64-Bit Avalon-ST TX Interface section.
2018.08.13 18.0 Added the step to invoke Vsim to the instructions for running a ModelSim simulation.
2018.05.07 18.0

Replaced all references to Intel® Cyclone® 10 with Intel® Cyclone® 10 GX.

2017.10.14 17.1

Corrected typo: added optional parameter to invert the RX polarity, not the TX polarity.

2017.10.06 17.1 Made the following changes to the user guide:
  • Added support for Intel® Cyclone® 10 GX devices.
  • Removed the Getting Started with the Hard IP for PCI Express with the Avalon-ST Interface. The PCIe Quick Start Guide which downloads to hardware replaces it.
  • Corrected signal name, tx_cred_cons_sel should be tx_cons_cred_sel.
  • Revised the Testbench and Design Example chapter. Although the functions and tasks that implement the testbench have not changed, the organization of these functions and task in files is entirely different than in earlier device families.

  • Fixed minor errors and typos.
2017.05.12 17.0

Made the following changes to the user guide:

  • Corrected definitions of tl_cfg_add[6:0] and tl_cfg_sts[122:0] under Transaction Layer Configuration Space Signals to include information for multi-function support.
  • Corrected the description of legacy interrupts for multi-function configurations. The app_int_sts_vec[7:0] bus controls generation TLP messages for legacy interrupts.
  • Revised discussion of Application Layer Interrupt Handler Module to include legacy interrupt generation.
  • Corrected Feature Comparison for all Hard IP for PCI Express IP Cores table. Out-of-order Completions are not supported transparently for the Avalon-MM with DMA interface.
  • Corrected default values for the Uncorrectable Internal Error Mask Register and Correctable Internal Error Mask Register registers.
  • Restored Configuration Space Register Access section.
  • Corrected minor errors and typos.
2016.10.31 16.1

Made the following changes to the user guide:

  • Added PCIe bifurcation to the Feature Comparison for all Hard IP for PCI Express IP Cores table. PCI bifurcation is not supported.
  • Corrected description of tl_cfg* bus. Provided sample RTL code to show how sample tl_cfg_ctl.Corrected tl_cfg_ctl Timing diagram.
  • Added instructions for turning on autonomous mode in the Quartus Prime software.
2016.05.02 16.0 Made the following changes:
  • The Quick Start Guide no longer supports the DMA design example.
  • Added figure for TX 3-dword header with qword aligned data.
  • Added statement that the testbench can only simulate a single Endpoint or Root Port at a time.
  • Enhanced statements covering the deficiencies of the Intel-provided testbench.
  • Corrected minor errors and typos.
2015.11.30 15.1 Made the following changes:
  • Added definition of the hip_reconfig_clk in the Hard IP Reconfiguration Signals chapter.
  • Removed the dlup signal which is no longer included in the Hard IP Status interface.
  • Added definition for tx_fifo_empty signal.
  • Corrected the frequency range in the Clock Summary table.
  • Added description of the Altera PCIe Reconfig Driver in the Connecting the Transceiver Reconfiguration Controller IP Core topic.
  • Added a FAQ chapter.
  • Added figure illustrating data alignment for the TX 3-dword header with qword aligned address.
  • Added TLP Support Comparison for all Hard IP for PCI Express IP Cores in Datasheet chapter.
  • Added new topic on Autonomous Mode in which the Hard IP for PCI Express begins operation when the periphery configuration completes.
  • Added SDC Timing Constraints to the Design Implementation chapter.
2014.12.15 14.1 Made the following changes to the user guide:
  • In the figured titled Specifying the Number of Transceiver Interfaces for Arria V and Cyclone V Devices, removed the check mark Calibrate duty cycle during power up. Duty cycle calibration occurs during Gen1 to Gen2 speed changes.
  • Corrected discussion of soft and hard reset controllers. The hardened reset controller is used for Arria V and Cyclone V devices.
  • Added simulation log file, altpcie_monitor_<dev>_dlhip_tlp_file_log.log in your simulation directory. Generation of the log file requires the following simulation file, <install_dir>altera/altera_pcie/altera_pcie_<dev>_hip/altpcie_monitor_<dev>_dlhip_sim.sv, that was not present in earlier releases of the Quartus II software.
  • Added statement that the bottom left hard IP block includes the CvP functionality for flip chip packages. For other package types, the CvP functionality is in the bottom right block.
  • Corrected bit definitions for CvP Status register.
  • Updated definition of CVP_NUMCLKS in the CvP Mode Control register.
  • Added definitions for test_in[2], test_in[6] and test_in[7].
  • Corrected Channel Utilization table for x1 instances. Data is driven on Channel 0. The CMU clock is on Channel 1.
2014.06.30 14.0

Made the following changes to the Intel® Arria® 10 Hard IP for PCI Express:

  • Increased the size of lmi_addr to 15 bits.

Made the following changes to the user guide:

  • Created separate user guides for variants using the Avalon-MM, Avalon-ST, and Avalon-MM with DMA interfaces to the Application Layer.
  • Added Next Steps in Creating a Design for PCI Express to Datasheet chapter.
  • Enhanced definition of Device ID and Sub-system Vendor ID to say that these registers are only valid in the Type 0 (Endpoint) Configuration Space.
  • Changed the default reset controller settings. By default Gen1 devices use the Hard Reset Controller. Gen2 uses the Soft Reset Controller.
  • Removed references to the MegaWizard® Plug-In Manager. In 14.0 the IP Parameter Editor Powered by Platform Designer has replaced the MegaWizard Plug-In Manager.
  • Removed reference to Gen2 x1 62.5 MHz configuration in Application Layer Clock Frequency for All Combination of Link Width, Data Rate and Application Layer Interface Widths table. This configuration is not supported.
  • Added definition for test_in[6] test_out bus.
  • Added definitions for Hard IP Reconfiguration bus. This bus became an optional feature in version 13.1 of the core.
  • Updated interrupt interface to reflect changes for multi-function support: app_int_sts implements legacy interrupts.
  • Added definitions for the txmargin, txswing, and testin_zero signals.
  • Removed definition for the busy_xcvr_reconfig signal which is not used.
  • Added section on relaxed ordering.
  • Added sections on making analog QSF and pin assignments.
  • Removed reconfig_busy port from connect between PHY IP Core for PCI Express and the Transceiver Reconfiguration Controller in the Altera Transceiver Reconfiguration Controller Connectivity figure. The Transceiver Reconfiguration Controller drives reconfig_busy port to the Altera PCIe Reconfig Driver.
  • Improved description of qword alignment of TLPs.
  • Added fact that DCD calibration is required for Gen2 data rate in the description of the transceiver reconfiguration signals. Updated figure showing Transceiver Reconfiguration Controller parameter editor.
  • Removed references to the ATX PLL. This PLL is not available for Intel® Arria® 10
  • Removed soft reset controller .sdc constraints from the <install_dir>/ip/altera/altera_pcie/altera_pcie_hip_ast_ed/altpcied_<dev>.sdc example. These constraints are now in a separate file in the synthesis/submodules directory.
  • Updated Power Supply Voltage Requirements table.
2014.12.20 13.1 Made the following changes:
  • Added constraints for refclk when CvP is enabled.
  • Corrected location information for nPERSTL*.
  • Corrected definition of test_in[4:1].
  • In Debugging chapter, under changing between soft and hard reset controller, changed the file name in which the parameter hip_hard_reset_hwtclmust be set to 0 to use the soft reset controller.
  • Added explanation of channel labeling for serial data. The Hard IP on the left side of the device must connect to the appropriate channels on the left side of the device, and so on.
  • Corrected connection for the Transceiver Reconfiguration Controller IP Core reset signal, alt_xcvr_reconfig_0 mgmt_rst_reset, Getting Started with the Avalon-MM Arria V Hard IP for PCI Express. This reset input connects to clk_0 clk_reset.
  • Added definition of nreset_status for variants using the Avalon-MM interface.
  • In Transaction Layer Routing Rules and Programming Model for Avalon-MM Root Port , added the fact that Type 0 Configuration Requests sent to the Root Port are not filtered by the device number. Application Layer software must filter out requests for device number greater than 0.
  • Added Recommended Reset Sequence to Avoid Link Training Issues to the Debugging chapter.
  • Added limitation for RxmIrq_<n>_i[<m>:0] when interrupts are received on consecutive cycles.
  • Updated timing diagram for tl_cfg_ctl.
  • Removed I/O Read Request and I/O Write Requests from TLPs supported for Avalon-MM interface.
  • Added note that the LTSSM interface can be used for Signal Tap debugging.
  • Added restriction on the use of dynamic transceiver reconfiguration when CvP is enabled.

2014.05.06

13.0

Made the following changes:
  • Timing models are now final.
  • Added instructions for running the Single Dword variant.
  • Corrected definition of test_in[4:1]. This vector must be set to 4’b0100.
  • Corrected connection for mgmt_clk_clk in Figure 3-2.
  • Corrected definition of nPERSTL*. The device has 1 nPERSTL* pin for each instance of the Hard IP for PCI Express in the device.
  • Corrected feature comparison table in Datasheet chapter. The Avalon-MM Hard IP for PCI Express IP Core does not support legacy endpoints.